Running: ./testmodel.py --libraries=/home/hudson/saved_omc/libraries/.openmodelica/libraries --ompython_omhome=/usr Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller.conf.json loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/ModelicaServices 4.0.0+maint.om/package.mo", uses=false) loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/Complex 4.0.0+maint.om/package.mo", uses=false) loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica 4.0.0+maint.om/package.mo", uses=false) loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/Buildings 12.0.0-master/package.mo", uses=false) Using package Buildings with version 12.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/Buildings 12.0.0-master/package.mo) Using package Modelica with version 4.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica 4.0.0+maint.om/package.mo) Using package Complex with version 4.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/Complex 4.0.0+maint.om/package.mo) Using package ModelicaServices with version 4.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/ModelicaServices 4.0.0+maint.om/package.mo) Running command: translateModel(Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller,tolerance=1e-06,outputFormat="mat",numberOfIntervals=5000,variableFilter="time|conVAV.cooDowTim|conVAV.warUpTim|conVAV.u1Occ|conVAV.u1OccSen|conVAV.u1Win|conVAV.u1SofSwiRes|conVAV.tNexOcc|conVAV.TZon|conVAV.cooSetAdj|conVAV.heaSetAdj|conVAV.yCooCoi|conVAV.yHeaCoi|conVAV.TAirSup|conVAV.conEco.ecoHigLim.TCut|conVAV.TOut|conVAV.uOutDam|conVAV.uCooCoi_actual|conVAV.uHeaCoi_actual|conVAV.TSupHeaEcoSet|conVAV.TSupCooSet|conVAV.TZonHeaSet|conVAV.TZonCooSet|conVAV.yRetDam|conVAV.yOutDam|conVAV.ySupFan",fileNamePrefix="Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller") translateModel(Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller,tolerance=1e-06,outputFormat="mat",numberOfIntervals=5000,variableFilter="time|conVAV.cooDowTim|conVAV.warUpTim|conVAV.u1Occ|conVAV.u1OccSen|conVAV.u1Win|conVAV.u1SofSwiRes|conVAV.tNexOcc|conVAV.TZon|conVAV.cooSetAdj|conVAV.heaSetAdj|conVAV.yCooCoi|conVAV.yHeaCoi|conVAV.TAirSup|conVAV.conEco.ecoHigLim.TCut|conVAV.TOut|conVAV.uOutDam|conVAV.uCooCoi_actual|conVAV.uHeaCoi_actual|conVAV.TSupHeaEcoSet|conVAV.TSupCooSet|conVAV.TZonHeaSet|conVAV.TZonCooSet|conVAV.yRetDam|conVAV.yOutDam|conVAV.ySupFan",fileNamePrefix="Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller") Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/ModelicaServices 4.0.0+maint.om/package.mo): time 0.001522/0.001523, allocations: 108 kB / 15.61 MB, free: 148 kB / 14.72 MB Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/Complex 4.0.0+maint.om/package.mo): time 0.00155/0.001551, allocations: 196.9 kB / 16.53 MB, free: 6.656 MB / 14.72 MB Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica 4.0.0+maint.om/package.mo): time 1.538/1.538, allocations: 222.9 MB / 240.2 MB, free: 4.387 MB / 190.1 MB Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/Buildings 12.0.0-master/package.mo): time 2.946/2.946, allocations: 472.6 MB / 0.7451 GB, free: 4.617 MB / 0.5919 GB Notification: Performance of FrontEnd - loaded program: time 0.002813/0.002813, allocations: 61.95 kB / 0.9121 GB, free: 33.33 MB / 0.7169 GB Notification: Performance of FrontEnd - Absyn->SCode: time 0.4801/0.483, allocations: 159.9 MB / 1.068 GB, free: 10.33 MB / 0.8262 GB Notification: Performance of NFInst.instantiate(Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller): time 0.03556/0.5186, allocations: 28.57 MB / 1.096 GB, free: 13.61 MB / 0.8575 GB Notification: Performance of NFInst.instExpressions: time 0.03685/0.5555, allocations: 14.43 MB / 1.11 GB, free: 15.16 MB / 0.8731 GB Notification: Performance of NFInst.updateImplicitVariability: time 0.01247/0.568, allocations: 235.1 kB / 1.11 GB, free: 14.93 MB / 0.8731 GB Notification: Performance of NFTyping.typeComponents: time 0.006409/0.5745, allocations: 1.756 MB / 1.112 GB, free: 13.16 MB / 0.8731 GB Notification: Performance of NFTyping.typeBindings: time 0.0087/0.5833, allocations: 1.191 MB / 1.113 GB, free: 11.95 MB / 0.8731 GB Notification: Performance of NFTyping.typeClassSections: time 0.01644/0.5997, allocations: 6.482 MB / 1.12 GB, free: 5.477 MB / 0.8731 GB Notification: Performance of NFFlatten.flatten: time 0.02266/0.6224, allocations: 16.04 MB / 1.135 GB, free: 5.402 MB / 0.8887 GB Notification: Performance of NFFlatten.resolveConnections: time 0.02523/0.6477, allocations: 13.37 MB / 1.148 GB, free: 7.883 MB / 0.9044 GB Notification: Performance of NFEvalConstants.evaluate: time 0.0141/0.6618, allocations: 4.988 MB / 1.153 GB, free: 2.879 MB / 0.9044 GB Notification: Performance of NFSimplifyModel.simplify: time 0.009052/0.6709, allocations: 5.083 MB / 1.158 GB, free: 13.77 MB / 0.92 GB Notification: Performance of NFPackage.collectConstants: time 0.007869/0.6789, allocations: 2.039 MB / 1.16 GB, free: 11.73 MB / 0.92 GB Notification: Performance of NFFlatten.collectFunctions: time 0.005981/0.6849, allocations: 2.156 MB / 1.162 GB, free: 9.578 MB / 0.92 GB Notification: Performance of NFScalarize.scalarize: time 0.006309/0.6912, allocations: 3.414 MB / 1.166 GB, free: 6.156 MB / 0.92 GB Notification: Performance of NFVerifyModel.verify: time 0.01213/0.7034, allocations: 6.264 MB / 1.172 GB, free: 15.86 MB / 0.9356 GB Notification: Performance of NFConvertDAE.convert: time 0.03401/0.7375, allocations: 25.6 MB / 1.197 GB, free: 6.188 MB / 0.9512 GB Notification: Performance of FrontEnd - DAE generated: time 1.047e-05/0.7376, allocations: 4 kB / 1.197 GB, free: 6.184 MB / 0.9512 GB Notification: Performance of FrontEnd: time 2.775e-06/0.7376, allocations: 0 / 1.197 GB, free: 6.184 MB / 0.9512 GB Notification: Performance of Transformations before backend: time 0.0008574/0.7384, allocations: 0 / 1.197 GB, free: 6.184 MB / 0.9512 GB Notification: Model statistics after passing the front-end and creating the data structures used by the back-end: * Number of equations: 2446 * Number of variables: 2446 Notification: Performance of Generate backend data structure: time 0.03718/0.7756, allocations: 10.9 MB / 1.207 GB, free: 11.04 MB / 0.9669 GB Notification: Performance of prepare preOptimizeDAE: time 4.705e-05/0.7757, allocations: 11.97 kB / 1.207 GB, free: 11.03 MB / 0.9669 GB Notification: Performance of preOpt normalInlineFunction (simulation): time 0.005453/0.7812, allocations: 1.113 MB / 1.209 GB, free: 9.906 MB / 0.9669 GB Notification: Performance of preOpt evaluateParameters (simulation): time 0.01744/0.7986, allocations: 6.427 MB / 1.215 GB, free: 3.395 MB / 0.9669 GB Notification: Performance of preOpt simplifyIfEquations (simulation): time 0.001124/0.7998, allocations: 0.8158 MB / 1.216 GB, free: 2.578 MB / 0.9669 GB Notification: Performance of preOpt expandDerOperator (simulation): time 0.004212/0.804, allocations: 1.043 MB / 1.217 GB, free: 1.535 MB / 0.9669 GB Notification: Performance of preOpt clockPartitioning (simulation): time 0.03512/0.8392, allocations: 10.49 MB / 1.227 GB, free: 6.797 MB / 0.9825 GB Notification: Performance of preOpt findStateOrder (simulation): time 0.0002541/0.8395, allocations: 15.88 kB / 1.227 GB, free: 6.781 MB / 0.9825 GB Notification: Performance of preOpt replaceEdgeChange (simulation): time 0.002767/0.8422, allocations: 415.9 kB / 1.227 GB, free: 6.375 MB / 0.9825 GB Notification: Performance of preOpt inlineArrayEqn (simulation): time 0.000207/0.8425, allocations: 236 kB / 1.228 GB, free: 6.145 MB / 0.9825 GB Notification: Performance of preOpt removeEqualRHS (simulation): time 0.02451/0.867, allocations: 7.989 MB / 1.235 GB, free: 14.14 MB / 0.9981 GB Notification: Performance of preOpt removeSimpleEquations (simulation): time 0.987/1.854, allocations: 38.91 MB / 1.273 GB, free: 134.3 MB / 0.9981 GB Notification: Performance of preOpt comSubExp (simulation): time 0.01898/1.873, allocations: 8.052 MB / 1.281 GB, free: 130.1 MB / 0.9981 GB Notification: Performance of preOpt resolveLoops (simulation): time 0.009128/1.882, allocations: 3.255 MB / 1.284 GB, free: 128.6 MB / 0.9981 GB Notification: Performance of preOpt evalFunc (simulation): time 0.0006195/1.883, allocations: 122.8 kB / 1.284 GB, free: 128.6 MB / 0.9981 GB Notification: Performance of preOpt encapsulateWhenConditions (simulation): time 0.01263/1.896, allocations: 4.358 MB / 1.289 GB, free: 126.6 MB / 0.9981 GB Notification: Performance of pre-optimization done (n=705): time 4.077e-05/1.896, allocations: 4 kB / 1.289 GB, free: 126.6 MB / 0.9981 GB Notification: Performance of matching and sorting (n=705): time 0.02835/1.924, allocations: 8.94 MB / 1.297 GB, free: 123 MB / 0.9981 GB Notification: Performance of inlineWhenForInitialization (initialization): time 0.0005811/1.925, allocations: 1.079 MB / 1.299 GB, free: 121.8 MB / 0.9981 GB Notification: Performance of selectInitializationVariablesDAE (initialization): time 0.0108/1.935, allocations: 4.867 MB / 1.303 GB, free: 119.8 MB / 0.9981 GB Notification: Performance of collectPreVariables (initialization): time 0.002884/1.938, allocations: 399.9 kB / 1.304 GB, free: 119.6 MB / 0.9981 GB Notification: Performance of collectInitialEqns (initialization): time 0.004684/1.943, allocations: 3.699 MB / 1.307 GB, free: 117 MB / 0.9981 GB Notification: Performance of collectInitialBindings (initialization): time 0.003184/1.946, allocations: 1.865 MB / 1.309 GB, free: 115.6 MB / 0.9981 GB Notification: Performance of simplifyInitialFunctions (initialization): time 0.005646/1.952, allocations: 2.007 MB / 1.311 GB, free: 114.5 MB / 0.9981 GB Notification: Performance of setup shared object (initialization): time 9.814e-05/1.952, allocations: 301.1 kB / 1.311 GB, free: 114.2 MB / 0.9981 GB Notification: Performance of preBalanceInitialSystem (initialization): time 0.006292/1.958, allocations: 2.801 MB / 1.314 GB, free: 112.7 MB / 0.9981 GB Notification: Performance of partitionIndependentBlocks (initialization): time 0.007102/1.966, allocations: 5.386 MB / 1.319 GB, free: 107.9 MB / 0.9981 GB Notification: Performance of analyzeInitialSystem (initialization): time 0.01194/1.977, allocations: 7.236 MB / 1.326 GB, free: 102.3 MB / 0.9981 GB Notification: Performance of solveInitialSystemEqSystem (initialization): time 8.741e-05/1.978, allocations: 23.34 kB / 1.326 GB, free: 102.3 MB / 0.9981 GB Notification: Performance of matching and sorting (n=1149) (initialization): time 0.01786/1.996, allocations: 8.511 MB / 1.335 GB, free: 98.02 MB / 0.9981 GB Notification: Performance of prepare postOptimizeDAE: time 0.0001213/1.996, allocations: 120.6 kB / 1.335 GB, free: 97.93 MB / 0.9981 GB Notification: Performance of postOpt simplifyComplexFunction (initialization): time 0.0001112/1.996, allocations: 72.38 kB / 1.335 GB, free: 97.93 MB / 0.9981 GB Notification: Performance of postOpt tearingSystem (initialization): time 0.000109/1.996, allocations: 116.4 kB / 1.335 GB, free: 97.92 MB / 0.9981 GB Notification: Performance of postOpt solveSimpleEquations (initialization): time 0.00485/2.001, allocations: 1.237 MB / 1.336 GB, free: 97.19 MB / 0.9981 GB Notification: Performance of postOpt calculateStrongComponentJacobians (initialization): time 0.0002305/2.001, allocations: 155.5 kB / 1.336 GB, free: 97.04 MB / 0.9981 GB Notification: Performance of postOpt simplifyAllExpressions (initialization): time 0.007225/2.008, allocations: 1.05 MB / 1.337 GB, free: 95.98 MB / 0.9981 GB Notification: Performance of postOpt collapseArrayExpressions (initialization): time 0.001525/2.01, allocations: 391.9 kB / 1.338 GB, free: 95.59 MB / 0.9981 GB Notification: Performance of preBalanceInitialSystem (initialization_lambda0): time 0.01477/2.025, allocations: 4.225 MB / 1.342 GB, free: 91.36 MB / 0.9981 GB Notification: Performance of partitionIndependentBlocks (initialization_lambda0): time 0.01398/2.039, allocations: 5.258 MB / 1.347 GB, free: 84.98 MB / 0.9981 GB Notification: Performance of analyzeInitialSystem (initialization_lambda0): time 0.023/2.062, allocations: 7.046 MB / 1.354 GB, free: 76.91 MB / 0.9981 GB Notification: Performance of solveInitialSystemEqSystem (initialization_lambda0): time 0.0001633/2.062, allocations: 20 kB / 1.354 GB, free: 76.89 MB / 0.9981 GB Notification: Performance of matching and sorting (n=1149) (initialization_lambda0): time 0.03755/2.1, allocations: 8.295 MB / 1.362 GB, free: 68.53 MB / 0.9981 GB Notification: Performance of prepare postOptimizeDAE: time 0.0001859/2.1, allocations: 121.1 kB / 1.362 GB, free: 68.39 MB / 0.9981 GB Notification: Performance of postOpt simplifyComplexFunction (initialization): time 0.0001641/2.1, allocations: 72 kB / 1.362 GB, free: 68.32 MB / 0.9981 GB Notification: Performance of postOpt tearingSystem (initialization): time 0.0001435/2.1, allocations: 116 kB / 1.362 GB, free: 68.21 MB / 0.9981 GB Notification: Performance of postOpt solveSimpleEquations (initialization): time 0.009629/2.11, allocations: 1.186 MB / 1.364 GB, free: 67.02 MB / 0.9981 GB Notification: Performance of postOpt calculateStrongComponentJacobians (initialization): time 0.000222/2.11, allocations: 163.4 kB / 1.364 GB, free: 66.86 MB / 0.9981 GB Notification: Performance of postOpt simplifyAllExpressions (initialization): time 0.01179/2.122, allocations: 0.9393 MB / 1.365 GB, free: 65.92 MB / 0.9981 GB Notification: Performance of postOpt collapseArrayExpressions (initialization): time 0.002672/2.125, allocations: 387.9 kB / 1.365 GB, free: 65.54 MB / 0.9981 GB Notification: Model statistics after passing the back-end for initialization: * Number of independent subsystems: 314 * Number of states: 0 () * Number of discrete variables: 719 ($PRE.conVAV.conEco.enaDis.truFalHol.onDel1.u,$PRE.conVAV.conEco.enaDis.truFalHol.onDel2.u,$PRE.conVAV.conEco.enaDis.truFalHol.toTrue.enableFire,$PRE.conVAV.conEco.enaDis.truFalHol.toFalse.enableFire,$PRE.conVAV.conEco.enaDis.truFalHol.toTrue1.enableFire,$PRE.conVAV.conEco.enaDis.truFalHol.toFalse1.enableFire,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.onDel1.u,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.onDel2.u,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.toTrue.enableFire,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.toFalse.enableFire,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.toTrue1.enableFire,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.enableFire,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.u,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.u,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.enableFire,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.enableFire,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.toTrue1.enableFire,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.enableFire,$PRE.conVAV.modSetPoi.TZonSet.truHol.onDelay.u,$PRE.conVAV.modSetPoi.TZonSet.truHol.toOutputTrue.enableFire,$PRE.conVAV.modSetPoi.TZonSet.truHol.toInitial.enableFire,$PRE.conVAV.frePro.holSta2.onDel1.u,$PRE.conVAV.frePro.holSta2.onDel2.u,$PRE.conVAV.frePro.holSta2.toTrue.enableFire,$PRE.conVAV.frePro.holSta2.toFalse.enableFire,$PRE.conVAV.frePro.holSta2.toTrue1.enableFire,$PRE.conVAV.frePro.holSta2.toFalse1.enableFire,$PRE.conVAV.plaReq.truDel.u,$PRE.conVAV.plaReq.truDel1.u,$PRE.conVAV.plaReq.truDel2.u,$PRE.conVAV.plaReq.truDel3.u,$PRE.conVAV.plaReq.lesThr2.lesHys.y,$PRE.conVAV.plaReq.greThr5.greHys.y,$PRE.conVAV.plaReq.lesThr3.lesHys.y,conVAV.conEco.ecoHigLim.or15.y,$PRE.conVAV.conEco.enaDis.truFalHol.onDel1.t_next,$whenCondition82,$PRE.conVAV.conEco.enaDis.truFalHol.onDel2.t_next,$whenCondition79,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.onDel1.t_next,$whenCondition70,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.onDel2.t_next,$whenCondition67,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.t_next,$whenCondition64,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.t_next,$whenCondition61,$PRE.conVAV.modSetPoi.TZonSet.truHol.onDelay.t_next,$whenCondition54,$PRE.conVAV.frePro.holSta2.onDel1.t_next,$whenCondition41,$PRE.conVAV.frePro.holSta2.onDel2.t_next,$whenCondition38,$PRE.conVAV.plaReq.truDel.t_next,$whenCondition23,$PRE.conVAV.plaReq.truDel1.t_next,$whenCondition20,$PRE.conVAV.plaReq.truDel2.t_next,$whenCondition14,$PRE.conVAV.plaReq.truDel3.t_next,$whenCondition11,$whenCondition5,$whenCondition6,$whenCondition3,$whenCondition4,$whenCondition1,$whenCondition2,conVAV.conEco.enaDis.truFalHol.onDel1.t_next,conVAV.conEco.enaDis.truFalHol.onDel2.t_next,conVAV.modSetPoi.opeModSel.truFalHol.onDel1.t_next,conVAV.modSetPoi.opeModSel.truFalHol.onDel2.t_next,conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.t_next,conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.t_next,conVAV.modSetPoi.TZonSet.truHol.onDelay.t_next,conVAV.frePro.holSta2.onDel1.t_next,conVAV.frePro.holSta2.onDel2.t_next,conVAV.plaReq.truDel.t_next,conVAV.plaReq.truDel1.t_next,conVAV.plaReq.truDel2.t_next,conVAV.plaReq.truDel3.t_next,conVAV.frePro.pre.u,conVAV.frePro.tim4.passed,$PRE.conVAV.frePro.tim4.passed,conVAV.frePro.tim3.passed,$PRE.conVAV.frePro.tim3.passed,conVAV.conEco.enaDis.truFalHol.toTrue.t_start,$PRE.conVAV.conEco.enaDis.truFalHol.toTrue.t_start,conVAV.conEco.enaDis.truFalHol.toFalse.t_start,$PRE.conVAV.conEco.enaDis.truFalHol.toFalse.t_start,conVAV.conEco.enaDis.truFalHol.toTrue1.t_start,$PRE.conVAV.conEco.enaDis.truFalHol.toTrue1.t_start,conVAV.conEco.enaDis.truFalHol.toFalse1.t_start,$PRE.conVAV.conEco.enaDis.truFalHol.toFalse1.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toTrue.t_start,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.toTrue.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toFalse.t_start,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.toFalse.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toTrue1.t_start,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.toTrue1.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.t_start,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.t_start,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.t_start,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue1.t_start,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.toTrue1.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.t_start,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.t_start,conVAV.modSetPoi.TZonSet.truHol.toOutputTrue.t_start,$PRE.conVAV.modSetPoi.TZonSet.truHol.toOutputTrue.t_start,conVAV.modSetPoi.TZonSet.truHol.toInitial.t_start,$PRE.conVAV.modSetPoi.TZonSet.truHol.toInitial.t_start,conVAV.frePro.holSta2.toTrue.t_start,$PRE.conVAV.frePro.holSta2.toTrue.t_start,conVAV.frePro.holSta2.toFalse.t_start,$PRE.conVAV.frePro.holSta2.toFalse.t_start,conVAV.frePro.holSta2.toTrue1.t_start,$PRE.conVAV.frePro.holSta2.toTrue1.t_start,conVAV.frePro.holSta2.toFalse1.t_start,$PRE.conVAV.frePro.holSta2.toFalse1.t_start,conVAV.conEco.ecoHigLim.noCli.y,conVAV.conEco.ecoHigLim.not4.u,conVAV.modSetPoi.TZonSet.not1.y,conVAV.modSetPoi.TZonSet.not2.y,conVAV.conEco.ecoHigLim.and4.y,conVAV.conEco.ecoHigLim.not1.u,conVAV.conEco.ecoHigLim.and14.y,conVAV.conEco.ecoHigLim.not3.u,conVAV.modSetPoi.TZonSet.booToRea6.u,conVAV.modSetPoi.TZonSet.or7.y,conVAV.modSetPoi.TZonSet.not1.u,conVAV.modSetPoi.TZonSet.booToRea7.u,conVAV.modSetPoi.TZonSet.or8.y,conVAV.modSetPoi.TZonSet.not2.u,conVAV.frePro.holSta2.stateGraphRoot.resume,conVAV.frePro.holSta2.stateGraphRoot.suspend,conVAV.modSetPoi.TZonSet.truHol.stateGraphRoot.resume,conVAV.modSetPoi.TZonSet.truHol.stateGraphRoot.suspend,conVAV.modSetPoi.opeModSel.truFalHol1.stateGraphRoot.resume,conVAV.modSetPoi.opeModSel.truFalHol1.stateGraphRoot.suspend,conVAV.modSetPoi.opeModSel.truFalHol.stateGraphRoot.resume,conVAV.modSetPoi.opeModSel.truFalHol.stateGraphRoot.suspend,conVAV.conEco.enaDis.truFalHol.stateGraphRoot.resume,conVAV.conEco.enaDis.truFalHol.stateGraphRoot.suspend,demLimLev.y,conVAV.plaReq.zer.y,conVAV.plaReq.one.y,conVAV.plaReq.two.y,conVAV.plaReq.thr.y,conVAV.frePro.con2.y,conVAV.frePro.conInt8.y,conVAV.frePro.conInt7.y,conVAV.frePro.conInt6.y,conVAV.frePro.conInt5.y,conVAV.frePro.conInt4.y,conVAV.frePro.heaCoiMod.con1.y,conVAV.frePro.conInt2.y,conVAV.frePro.conInt1.y,conVAV.frePro.heaCoiCon1.con1.y,conVAV.cooCoiVal.conInt.y,conVAV.modSetPoi.TZonSet.conInt5.y,conVAV.modSetPoi.TZonSet.conInt4.y,conVAV.modSetPoi.TZonSet.conInt3.y,conVAV.modSetPoi.TZonSet.conInt7.y,conVAV.modSetPoi.TZonSet.conInt6.y,conVAV.modSetPoi.TZonSet.conInt8.y,conVAV.modSetPoi.TZonSet.have_occSenCon.y,conVAV.modSetPoi.TZonSet.winSenCon.y,conVAV.modSetPoi.TZonSet.heaSetAdjCon.y,conVAV.modSetPoi.TZonSet.cooSetAdjCon.y,conVAV.modSetPoi.TZonSet.conInt2.y,conVAV.modSetPoi.TZonSet.conInt1.y,conVAV.modSetPoi.TZonSet.conInt.y,conVAV.modSetPoi.opeModSel.totZon.y,conVAV.conInt.y,conVAV.outAirSetPoi.occMod.y,conVAV.conEco.ecoHigLim.fixDryBulDifDryBul.y,conVAV.conEco.ecoHigLim.ash8.y,conVAV.conEco.ecoHigLim.ash7.y,conVAV.conEco.ecoHigLim.ash6B.y,conVAV.conEco.ecoHigLim.ash6A.y,conVAV.conEco.ecoHigLim.ash5C.y,conVAV.conEco.ecoHigLim.ash5B.y,conVAV.conEco.ecoHigLim.ash5A.y,conVAV.conEco.ecoHigLim.ash4C.y,conVAV.conEco.ecoHigLim.ash4B.y,conVAV.conEco.ecoHigLim.ash4A.y,conVAV.conEco.ecoHigLim.ash3C.y,conVAV.conEco.ecoHigLim.ash3B.y,conVAV.conEco.ecoHigLim.ash3A.y,conVAV.conEco.ecoHigLim.ash2B.y,conVAV.conEco.ecoHigLim.ash2A.y,conVAV.conEco.ecoHigLim.ash1B.y,conVAV.conEco.ecoHigLim.ash1A.y,conVAV.conEco.ecoHigLim.difDryBul.y,conVAV.conEco.ecoHigLim.fixDryBul.y,conVAV.conEco.damLim.conInt1.y,conVAV.conEco.damLim.conInt.y,conVAV.conEco.enaDis.conInt1.y,conVAV.conEco.enaDis.conInt.y,conVAV.conEco.enaDis.entSubst.y,conVAV.setPoiVAV.unoMod.y,conVAV.conEco.ecoHigLim.or22.y,conVAV.conEco.ecoHigLim.or24.y,conVAV.conEco.ecoHigLim.or25.y,conVAV.conEco.ecoHigLim.or6.y,conVAV.conEco.ecoHigLim.or5.y,conVAV.conEco.ecoHigLim.and3.y,conVAV.conEco.ecoHigLim.or10.y,conVAV.conEco.ecoHigLim.or11.y,conVAV.conEco.ecoHigLim.or12.y,conVAV.conEco.ecoHigLim.or13.y,conVAV.conEco.ecoHigLim.or8.y,conVAV.conEco.ecoHigLim.or33.y,conVAV.conEco.ecoHigLim.not1.y,conVAV.conEco.ecoHigLim.and12.y,conVAV.conEco.ecoHigLim.and13.y,conVAV.conEco.ecoHigLim.not3.y,conVAV.modSetPoi.TZonSet.intEqu7.y,conVAV.modSetPoi.TZonSet.intEqu8.y,conVAV.modSetPoi.TZonSet.intEqu6.y,conVAV.modSetPoi.TZonSet.intEqu3.y,conVAV.modSetPoi.TZonSet.intEqu4.y,conVAV.modSetPoi.TZonSet.intEqu5.y,conVAV.modSetPoi.TZonSet.or4.y,conVAV.modSetPoi.TZonSet.or1.y,conVAV.conEco.ecoHigLim.or31.y,conVAV.conEco.ecoHigLim.or26.y,conVAV.conEco.ecoHigLim.or14.y,conVAV.conEco.ecoHigLim.or4.y,conVAV.modSetPoi.TZonSet.intGreThr.y,conVAV.modSetPoi.TZonSet.intGreThr1.y,conVAV.plaReq.lesThr2.lesHys.y,conVAV.plaReq.greThr5.greHys.y,conVAV.plaReq.lesThr3.lesHys.y,conVAV.modSetPoi.TZonSet.edg.u,$PRE.conVAV.modSetPoi.TZonSet.edg.u,freRes.y,$PRE.freRes.y,occSta.y,$PRE.occSta.y,winSta.y,$PRE.winSta.y,occSch.tNext,$PRE.occSch.tNext,occSch.tNonOcc,$PRE.occSch.tNonOcc,occSch.tOcc,$PRE.occSch.tOcc,occSch.occupied,$PRE.occSch.occupied,conVAV.freProMod.y,conVAV.relDam.and2.y,conVAV.relDam.greThr.greHys.y,$PRE.conVAV.relDam.greThr.greHys.y,conVAV.plaReq.lat3.y,conVAV.plaReq.hotWatRes1.y,conVAV.plaReq.lat2.y,conVAV.plaReq.hotWatRes2.y,conVAV.plaReq.truDel3.y,conVAV.plaReq.truDel3.u,conVAV.plaReq.truDel2.y,conVAV.plaReq.truDel2.u,conVAV.plaReq.greThr4.greHys.y,$PRE.conVAV.plaReq.greThr4.greHys.y,conVAV.plaReq.greThr3.greHys.y,$PRE.conVAV.plaReq.greThr3.greHys.y,conVAV.plaReq.lesThr1.lesHys.y,$PRE.conVAV.plaReq.lesThr1.lesHys.y,conVAV.plaReq.lat1.y,conVAV.plaReq.chiWatRes1.y,conVAV.plaReq.lat.y,conVAV.plaReq.lesThr.lesHys.y,$PRE.conVAV.plaReq.lesThr.lesHys.y,conVAV.plaReq.chiWatRes2.y,conVAV.plaReq.greThr2.greHys.y,$PRE.conVAV.plaReq.greThr2.greHys.y,conVAV.plaReq.truDel1.y,conVAV.plaReq.truDel1.u,conVAV.plaReq.truDel.y,conVAV.plaReq.truDel.u,conVAV.plaReq.greThr1.greHys.y,$PRE.conVAV.plaReq.greThr1.greHys.y,conVAV.plaReq.greThr.greHys.y,$PRE.conVAV.plaReq.greThr.greHys.y,conVAV.plaReq.yHotWatPlaReq,conVAV.frePro.pre.y,$PRE.conVAV.frePro.pre.u,conVAV.frePro.intSwi5.y,conVAV.frePro.intSwi4.y,conVAV.frePro.falEdg.not_u,$PRE.conVAV.frePro.falEdg.not_u,conVAV.frePro.falEdg.y,conVAV.frePro.or2.y,conVAV.frePro.endStaTwo.y,conVAV.frePro.endStaTwo.u,$PRE.conVAV.frePro.endStaTwo.u,conVAV.frePro.lat2.y,conVAV.frePro.tim5.entryTime,$PRE.conVAV.frePro.tim5.entryTime,conVAV.frePro.tim5.passed,$PRE.conVAV.frePro.tim5.passed,conVAV.frePro.lat1.y,conVAV.frePro.tim4.entryTime,$PRE.conVAV.frePro.tim4.entryTime,conVAV.frePro.lesThr2.lesHys.y,$PRE.conVAV.frePro.lesThr2.lesHys.y,conVAV.frePro.tim3.entryTime,$PRE.conVAV.frePro.tim3.entryTime,conVAV.frePro.intSwi1.y,conVAV.frePro.holSta2.toFalse1.enableFire,conVAV.frePro.holSta2.toTrue1.enableFire,conVAV.frePro.holSta2.initialStep.oldActive,$PRE.conVAV.frePro.holSta2.initialStep.oldActive,conVAV.frePro.holSta2.initialStep.newActive,$PRE.conVAV.frePro.holSta2.initialStep.newActive,conVAV.frePro.holSta2.initialStep.outPort[2].available,conVAV.frePro.holSta2.initialStep.localActive,$PRE.conVAV.frePro.holSta2.initialStep.localActive,conVAV.frePro.holSta2.initialStep.active,conVAV.frePro.holSta2.toFalse.enableFire,conVAV.frePro.holSta2.toFalse.localCondition,conVAV.frePro.holSta2.outputTrue.active,conVAV.frePro.holSta2.outputTrue.oldActive,$PRE.conVAV.frePro.holSta2.outputTrue.oldActive,conVAV.frePro.holSta2.outputTrue.newActive,$PRE.conVAV.frePro.holSta2.outputTrue.newActive,conVAV.frePro.holSta2.outputTrue.inPort[2].occupied,conVAV.frePro.holSta2.outputTrue.localActive,$PRE.conVAV.frePro.holSta2.outputTrue.localActive,conVAV.frePro.holSta2.toTrue.enableFire,conVAV.frePro.holSta2.toTrue.localCondition,conVAV.frePro.holSta2.outputFalse.active,conVAV.frePro.holSta2.outputFalse.oldActive,$PRE.conVAV.frePro.holSta2.outputFalse.oldActive,conVAV.frePro.holSta2.outputFalse.newActive,$PRE.conVAV.frePro.holSta2.outputFalse.newActive,conVAV.frePro.holSta2.outputFalse.inPort[2].occupied,conVAV.frePro.holSta2.outputFalse.localActive,$PRE.conVAV.frePro.holSta2.outputFalse.localActive,conVAV.frePro.holSta2.stateGraphRoot.activeSteps,conVAV.frePro.holSta2.onDel2.y,conVAV.frePro.holSta2.onDel2.u,conVAV.frePro.holSta2.onDel1.y,conVAV.frePro.holSta2.onDel1.u,conVAV.frePro.tim2.entryTime,$PRE.conVAV.frePro.tim2.entryTime,conVAV.frePro.tim2.passed,$PRE.conVAV.frePro.tim2.passed,conVAV.frePro.lesThr1.lesHys.y,$PRE.conVAV.frePro.lesThr1.lesHys.y,conVAV.frePro.endStaOne.y,conVAV.frePro.endStaOne.u,$PRE.conVAV.frePro.endStaOne.u,conVAV.frePro.tim1.entryTime,$PRE.conVAV.frePro.tim1.entryTime,conVAV.frePro.tim1.passed,$PRE.conVAV.frePro.tim1.passed,conVAV.frePro.lat.y,conVAV.frePro.greThr.greHys.y,$PRE.conVAV.frePro.greThr.greHys.y,conVAV.frePro.hotWatPlaReq.y,conVAV.frePro.tim.entryTime,$PRE.conVAV.frePro.tim.entryTime,conVAV.frePro.tim.passed,$PRE.conVAV.frePro.tim.passed,conVAV.frePro.lesThr.lesHys.y,$PRE.conVAV.frePro.lesThr.lesHys.y,conVAV.frePro.yHotWatPlaReq,conVAV.frePro.yFreProSta,conVAV.cooCoiVal.and2.y,conVAV.cooCoiVal.intEqu.y,conVAV.modSetPoi.booToInt.y,conVAV.modSetPoi.hotZon.y,conVAV.modSetPoi.colZon.y,conVAV.modSetPoi.zonSta.hys4.y,$PRE.conVAV.modSetPoi.zonSta.hys4.y,conVAV.modSetPoi.zonSta.hys3.y,$PRE.conVAV.modSetPoi.zonSta.hys3.y,conVAV.modSetPoi.zonSta.hys5.y,$PRE.conVAV.modSetPoi.zonSta.hys5.y,conVAV.modSetPoi.zonSta.hys2.y,$PRE.conVAV.modSetPoi.zonSta.hys2.y,conVAV.modSetPoi.zonSta.hys1.y,$PRE.conVAV.modSetPoi.zonSta.hys1.y,conVAV.modSetPoi.zonSta.hys.y,$PRE.conVAV.modSetPoi.zonSta.hys.y,conVAV.modSetPoi.zonSta.yHigUnoCoo,conVAV.modSetPoi.zonSta.yUnoHeaHig,conVAV.modSetPoi.zonSta.yHigOccCoo,conVAV.modSetPoi.zonSta.yOccHeaHig,conVAV.modSetPoi.TZonSet.and10.y,conVAV.modSetPoi.TZonSet.gre2.y,conVAV.modSetPoi.TZonSet.gre.y,conVAV.modSetPoi.TZonSet.les.y,conVAV.modSetPoi.TZonSet.not5.y,conVAV.modSetPoi.TZonSet.and11.y,conVAV.modSetPoi.TZonSet.or9.y,conVAV.modSetPoi.TZonSet.intEqu1.y,conVAV.modSetPoi.TZonSet.intEqu.y,conVAV.modSetPoi.TZonSet.or6.y,conVAV.modSetPoi.TZonSet.heaSetSam.y,$PRE.conVAV.modSetPoi.TZonSet.heaSetSam.y,conVAV.modSetPoi.TZonSet.cooSetSam.y,$PRE.conVAV.modSetPoi.TZonSet.cooSetSam.y,conVAV.modSetPoi.TZonSet.edg1.y,conVAV.modSetPoi.TZonSet.edg1.u,$PRE.conVAV.modSetPoi.TZonSet.edg1.u,conVAV.modSetPoi.TZonSet.truHol.toInitial.enableFire,conVAV.modSetPoi.TZonSet.truHol.toOutputTrue.enableFire,conVAV.modSetPoi.TZonSet.truHol.outputTrue.active,conVAV.modSetPoi.TZonSet.truHol.outputTrue.oldActive,$PRE.conVAV.modSetPoi.TZonSet.truHol.outputTrue.oldActive,conVAV.modSetPoi.TZonSet.truHol.outputTrue.newActive,$PRE.conVAV.modSetPoi.TZonSet.truHol.outputTrue.newActive,conVAV.modSetPoi.TZonSet.truHol.outputTrue.localActive,$PRE.conVAV.modSetPoi.TZonSet.truHol.outputTrue.localActive,conVAV.modSetPoi.TZonSet.truHol.initialStep.oldActive,$PRE.conVAV.modSetPoi.TZonSet.truHol.initialStep.oldActive,conVAV.modSetPoi.TZonSet.truHol.initialStep.newActive,$PRE.conVAV.modSetPoi.TZonSet.truHol.initialStep.newActive,conVAV.modSetPoi.TZonSet.truHol.initialStep.localActive,$PRE.conVAV.modSetPoi.TZonSet.truHol.initialStep.localActive,conVAV.modSetPoi.TZonSet.truHol.initialStep.active,conVAV.modSetPoi.TZonSet.truHol.onDelay.y,conVAV.modSetPoi.TZonSet.truHol.onDelay.u,conVAV.modSetPoi.TZonSet.truHol.stateGraphRoot.activeSteps,conVAV.modSetPoi.TZonSet.tim.entryTime,$PRE.conVAV.modSetPoi.TZonSet.tim.entryTime,conVAV.modSetPoi.TZonSet.tim.passed,$PRE.conVAV.modSetPoi.TZonSet.tim.passed,conVAV.modSetPoi.TZonSet.heaSetFre.y,$PRE.conVAV.modSetPoi.TZonSet.heaSetFre.y,conVAV.modSetPoi.TZonSet.or5.y,conVAV.modSetPoi.TZonSet.cooSetFre.y,$PRE.conVAV.modSetPoi.TZonSet.cooSetFre.y,conVAV.modSetPoi.TZonSet.edg.y,conVAV.modSetPoi.TZonSet.yAla,conVAV.modSetPoi.opeModSel.or10.y,conVAV.modSetPoi.opeModSel.or8.y,conVAV.modSetPoi.opeModSel.addInt7.y,conVAV.modSetPoi.opeModSel.addInt6.y,conVAV.modSetPoi.opeModSel.intGreThr1.y,conVAV.modSetPoi.opeModSel.endSetUp.y,conVAV.modSetPoi.opeModSel.endSetUp.u,$PRE.conVAV.modSetPoi.opeModSel.endSetUp.u,conVAV.modSetPoi.opeModSel.endSetBac.y,conVAV.modSetPoi.opeModSel.endSetBac.u,$PRE.conVAV.modSetPoi.opeModSel.endSetBac.u,conVAV.modSetPoi.opeModSel.or7.y,conVAV.modSetPoi.opeModSel.or2.y,conVAV.modSetPoi.opeModSel.allHot.y,conVAV.modSetPoi.opeModSel.allCol.y,conVAV.modSetPoi.opeModSel.and4.y,conVAV.modSetPoi.opeModSel.setBacMod2.y,conVAV.modSetPoi.opeModSel.setBacMod1.y,conVAV.modSetPoi.opeModSel.not1.y,conVAV.modSetPoi.opeModSel.notOcc.y,conVAV.modSetPoi.opeModSel.or5.y,conVAV.modSetPoi.opeModSel.or4.y,conVAV.modSetPoi.opeModSel.or9.y,conVAV.modSetPoi.opeModSel.or1.y,conVAV.modSetPoi.opeModSel.and1.y,conVAV.modSetPoi.opeModSel.not2.y,conVAV.modSetPoi.opeModSel.booToInt3.y,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.enableFire,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.localCondition,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue1.enableFire,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.oldActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.oldActive,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.newActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.newActive,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.outPort[2].available,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.localActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.localActive,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.active,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.enableFire,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.localCondition,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.active,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.oldActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.oldActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.newActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.newActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.inPort[2].occupied,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.localActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.localActive,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.enableFire,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.localCondition,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.active,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.oldActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.oldActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.newActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.newActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.inPort[2].occupied,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.localActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.localActive,conVAV.modSetPoi.opeModSel.truFalHol1.stateGraphRoot.activeSteps,conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.y,conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.u,conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.y,conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.u,conVAV.modSetPoi.opeModSel.edg1.u,$PRE.conVAV.modSetPoi.opeModSel.edg1.u,conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.enableFire,conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.localCondition,conVAV.modSetPoi.opeModSel.truFalHol.toTrue1.enableFire,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.oldActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.initialStep.oldActive,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.newActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.initialStep.newActive,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.outPort[2].available,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.localActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.initialStep.localActive,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.active,conVAV.modSetPoi.opeModSel.truFalHol.toFalse.enableFire,conVAV.modSetPoi.opeModSel.truFalHol.toFalse.localCondition,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.active,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.oldActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.oldActive,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.newActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.newActive,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.inPort[2].occupied,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.localActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.localActive,conVAV.modSetPoi.opeModSel.truFalHol.toTrue.enableFire,conVAV.modSetPoi.opeModSel.truFalHol.toTrue.localCondition,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.active,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.oldActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.oldActive,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.newActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.newActive,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.inPort[2].occupied,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.localActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.localActive,conVAV.modSetPoi.opeModSel.truFalHol.stateGraphRoot.activeSteps,conVAV.modSetPoi.opeModSel.truFalHol.onDel2.y,conVAV.modSetPoi.opeModSel.truFalHol.onDel2.u,conVAV.modSetPoi.opeModSel.truFalHol.onDel1.y,conVAV.modSetPoi.opeModSel.truFalHol.onDel1.u,conVAV.modSetPoi.opeModSel.edg.u,$PRE.conVAV.modSetPoi.opeModSel.edg.u,conVAV.modSetPoi.opeModSel.setUpMod.y,conVAV.modSetPoi.opeModSel.freProSetBacMod.y,conVAV.modSetPoi.opeModSel.setBacMod.y,conVAV.modSetPoi.opeModSel.occMod.y,conVAV.modSetPoi.opeModSel.addInt4.y,conVAV.modSetPoi.opeModSel.addInt3.y,conVAV.modSetPoi.opeModSel.addInt2.y,conVAV.modSetPoi.opeModSel.addInt1.y,conVAV.modSetPoi.opeModSel.addInt.y,conVAV.modSetPoi.opeModSel.intGreThr2.y,conVAV.modSetPoi.opeModSel.hys10.y,$PRE.conVAV.modSetPoi.opeModSel.hys10.y,conVAV.modSetPoi.opeModSel.hys9.y,$PRE.conVAV.modSetPoi.opeModSel.hys9.y,conVAV.modSetPoi.opeModSel.hys5.y,$PRE.conVAV.modSetPoi.opeModSel.hys5.y,conVAV.modSetPoi.opeModSel.hys4.y,$PRE.conVAV.modSetPoi.opeModSel.hys4.y,conVAV.modSetPoi.opeModSel.hys3.y,$PRE.conVAV.modSetPoi.opeModSel.hys3.y,conVAV.modSetPoi.opeModSel.hys2.y,$PRE.conVAV.modSetPoi.opeModSel.hys2.y,conVAV.modSetPoi.opeModSel.lat2.y,conVAV.modSetPoi.opeModSel.lat1.y,conVAV.modSetPoi.opeModSel.lat.y,conVAV.modSetPoi.opeModSel.intGreThr.y,conVAV.modSetPoi.yOpeMod,conVAV.switch.y,conVAV.zonSta.addInt.y,conVAV.zonSta.notHea.y,conVAV.zonSta.hysU.y,$PRE.conVAV.zonSta.hysU.y,conVAV.zonSta.isCoo.y,conVAV.zonSta.hysUCoo.y,$PRE.conVAV.zonSta.hysUCoo.y,conVAV.zonSta.hysUHea.y,$PRE.conVAV.zonSta.hysUHea.y,conVAV.zonSta.booToIntDea.y,conVAV.zonSta.isDea.y,conVAV.zonSta.booToIntCoo.y,conVAV.zonSta.booToIntHea.y,conVAV.zonSta.yZonSta,conVAV.outAirSetPoi.cooSup.greHys.y,$PRE.conVAV.outAirSetPoi.cooSup.greHys.y,conVAV.outAirSetPoi.notOccMod.y,conVAV.outAirSetPoi.or2.y,conVAV.conEco.ecoHigLim.and2.y,conVAV.conEco.damLim.noZerMin.greHys.y,$PRE.conVAV.conEco.damLim.noZerMin.greHys.y,conVAV.conEco.damLim.and1.y,conVAV.conEco.damLim.and1.u2,conVAV.conEco.damLim.intLesEqu.y,conVAV.conEco.damLim.not1.y,conVAV.conEco.enaDis.hysOutTem.y,$PRE.conVAV.conEco.enaDis.hysOutTem.y,conVAV.conEco.enaDis.truFalHol.toFalse1.enableFire,conVAV.conEco.enaDis.truFalHol.toTrue1.enableFire,conVAV.conEco.enaDis.truFalHol.initialStep.oldActive,$PRE.conVAV.conEco.enaDis.truFalHol.initialStep.oldActive,conVAV.conEco.enaDis.truFalHol.initialStep.newActive,$PRE.conVAV.conEco.enaDis.truFalHol.initialStep.newActive,conVAV.conEco.enaDis.truFalHol.initialStep.outPort[2].available,conVAV.conEco.enaDis.truFalHol.initialStep.localActive,$PRE.conVAV.conEco.enaDis.truFalHol.initialStep.localActive,conVAV.conEco.enaDis.truFalHol.initialStep.active,conVAV.conEco.enaDis.truFalHol.toFalse.enableFire,conVAV.conEco.enaDis.truFalHol.toFalse.localCondition,conVAV.conEco.enaDis.truFalHol.outputTrue.active,conVAV.conEco.enaDis.truFalHol.outputTrue.oldActive,$PRE.conVAV.conEco.enaDis.truFalHol.outputTrue.oldActive,conVAV.conEco.enaDis.truFalHol.outputTrue.newActive,$PRE.conVAV.conEco.enaDis.truFalHol.outputTrue.newActive,conVAV.conEco.enaDis.truFalHol.outputTrue.inPort[2].occupied,conVAV.conEco.enaDis.truFalHol.outputTrue.localActive,$PRE.conVAV.conEco.enaDis.truFalHol.outputTrue.localActive,conVAV.conEco.enaDis.truFalHol.toTrue.enableFire,conVAV.conEco.enaDis.truFalHol.toTrue.localCondition,conVAV.conEco.enaDis.truFalHol.outputFalse.active,conVAV.conEco.enaDis.truFalHol.outputFalse.oldActive,$PRE.conVAV.conEco.enaDis.truFalHol.outputFalse.oldActive,conVAV.conEco.enaDis.truFalHol.outputFalse.newActive,$PRE.conVAV.conEco.enaDis.truFalHol.outputFalse.newActive,conVAV.conEco.enaDis.truFalHol.outputFalse.inPort[2].occupied,conVAV.conEco.enaDis.truFalHol.outputFalse.localActive,$PRE.conVAV.conEco.enaDis.truFalHol.outputFalse.localActive,conVAV.conEco.enaDis.truFalHol.stateGraphRoot.activeSteps,conVAV.conEco.enaDis.truFalHol.onDel2.y,conVAV.conEco.enaDis.truFalHol.onDel2.u,conVAV.conEco.enaDis.truFalHol.onDel1.y,conVAV.conEco.enaDis.truFalHol.onDel1.u,conVAV.conEco.enaDis.andEnaDis.y,conVAV.conEco.enaDis.andEnaDis.u2,conVAV.conEco.enaDis.andEnaDis.u1,conVAV.conEco.enaDis.andFrePro.u2,conVAV.conEco.enaDis.andFrePro.u1,conVAV.setPoiVAV.gre.greHys.y,$PRE.conVAV.setPoiVAV.gre.greHys.y,conVAV.setPoiVAV.heaSta.greHys.y,$PRE.conVAV.setPoiVAV.heaSta.greHys.y,conVAV.setPoiVAV.y1SupFan,conVAV.yHotWatPlaReq,conVAV.yHotWatResReq,conVAV.yChiPlaReq,conVAV.yChiWatResReq,conVAV.yAla,conVAV.y1SupFan,$whenCondition7,$whenCondition8,$whenCondition9,$whenCondition10,$whenCondition13,$whenCondition12,$whenCondition16,$whenCondition15,$whenCondition17,$whenCondition18,$whenCondition19,$whenCondition22,$whenCondition21,$whenCondition25,$whenCondition24,$whenCondition26,$whenCondition27,$whenCondition29,$whenCondition28,$whenCondition30,$whenCondition31,$whenCondition34,$whenCondition33,$whenCondition32,$whenCondition37,$whenCondition36,$whenCondition35,$whenCondition40,$whenCondition39,$whenCondition43,$whenCondition42,$whenCondition44,$whenCondition47,$whenCondition46,$whenCondition45,$whenCondition48,$whenCondition49,$whenCondition52,$whenCondition51,$whenCondition50,$whenCondition53,$whenCondition56,$whenCondition55,$whenCondition59,$whenCondition58,$whenCondition57,$whenCondition60,$whenCondition63,$whenCondition62,$whenCondition66,$whenCondition65,$whenCondition69,$whenCondition68,$whenCondition72,$whenCondition71,$whenCondition73,$whenCondition74,$whenCondition75,$whenCondition76,$whenCondition77,$whenCondition78,$whenCondition81,$whenCondition80,$whenCondition84,$whenCondition83,$whenCondition85,conVAV.conEco.ecoHigLim.noAshCli.y,conVAV.conEco.ecoHigLim.not4.y,conVAV.conEco.ecoHigLim.fixEntFixDryBul.y,conVAV.conEco.ecoHigLim.difEntFixDryBul.y,conVAV.conEco.mod.uTSup.cheYMinMax.y) * Number of discrete states: 0 () * Number of clocked states: 0 () * Top-level inputs: 0 Notification: Strong component statistics for initialization (1140): * Single equations (assignments): 1136 * Array equations: 0 * Algorithm blocks: 3 * Record equations: 1 * When equations: 0 * If-equations: 0 * Equation systems (not torn): 0 * Torn equation systems: 0 * Mixed (continuous/discrete) equation systems: 0 Notification: Performance of prepare postOptimizeDAE: time 0.00587/2.13, allocations: 1.33 MB / 1.366 GB, free: 64.19 MB / 0.9981 GB Notification: Performance of postOpt lateInlineFunction (simulation): time 0.003541/2.134, allocations: 0.6485 MB / 1.367 GB, free: 63.54 MB / 0.9981 GB Notification: Performance of postOpt wrapFunctionCalls (simulation): time 0.03196/2.166, allocations: 6.954 MB / 1.374 GB, free: 56.51 MB / 0.9981 GB Notification: Performance of postOpt inlineArrayEqn (simulation): time 8.061e-05/2.166, allocations: 72 kB / 1.374 GB, free: 56.44 MB / 0.9981 GB Notification: Performance of postOpt constantLinearSystem (simulation): time 3.328e-05/2.166, allocations: 8 kB / 1.374 GB, free: 56.43 MB / 0.9981 GB Notification: Performance of postOpt simplifysemiLinear (simulation): time 0.0002259/2.166, allocations: 39.81 kB / 1.374 GB, free: 56.39 MB / 0.9981 GB Notification: Performance of postOpt removeSimpleEquations (simulation): time 0.05165/2.218, allocations: 18.09 MB / 1.391 GB, free: 38.06 MB / 0.9981 GB Notification: Performance of postOpt simplifyComplexFunction (simulation): time 5.273e-05/2.218, allocations: 12 kB / 1.391 GB, free: 38.05 MB / 0.9981 GB Notification: Performance of postOpt solveSimpleEquations (simulation): time 0.0036/2.222, allocations: 0.7176 MB / 1.392 GB, free: 37.33 MB / 0.9981 GB Notification: Performance of postOpt tearingSystem (simulation): time 8.088e-05/2.222, allocations: 36 kB / 1.392 GB, free: 37.3 MB / 0.9981 GB Notification: Performance of postOpt inputDerivativesUsed (simulation): time 0.001354/2.223, allocations: 191.8 kB / 1.392 GB, free: 37.11 MB / 0.9981 GB Notification: Performance of postOpt calculateStrongComponentJacobians (simulation): time 6.192e-05/2.223, allocations: 32 kB / 1.392 GB, free: 37.08 MB / 0.9981 GB Notification: Performance of postOpt calculateStateSetsJacobians (simulation): time 6.362e-06/2.223, allocations: 7.938 kB / 1.392 GB, free: 37.07 MB / 0.9981 GB Notification: Performance of postOpt symbolicJacobian (simulation): time 0.02373/2.247, allocations: 10.65 MB / 1.403 GB, free: 26.23 MB / 0.9981 GB Notification: Performance of postOpt removeConstants (simulation): time 0.001725/2.249, allocations: 0.7769 MB / 1.404 GB, free: 25.42 MB / 0.9981 GB Notification: Performance of postOpt simplifyTimeIndepFuncCalls (simulation): time 0.002486/2.251, allocations: 267.9 kB / 1.404 GB, free: 25.16 MB / 0.9981 GB Notification: Performance of postOpt simplifyAllExpressions (simulation): time 0.004593/2.256, allocations: 356 kB / 1.404 GB, free: 24.82 MB / 0.9981 GB Notification: Performance of postOpt findZeroCrossings (simulation): time 0.002303/2.258, allocations: 0.8401 MB / 1.405 GB, free: 23.97 MB / 0.9981 GB Notification: Performance of postOpt collapseArrayExpressions (simulation): time 0.001314/2.26, allocations: 295.8 kB / 1.405 GB, free: 23.68 MB / 0.9981 GB Notification: Performance of sorting global known variables: time 0.006475/2.266, allocations: 2.752 MB / 1.408 GB, free: 20.95 MB / 0.9981 GB Notification: Performance of sort global known variables: time 8.21e-07/2.266, allocations: 0 / 1.408 GB, free: 20.95 MB / 0.9981 GB Notification: Performance of remove unused functions: time 0.01723/2.283, allocations: 3.552 MB / 1.411 GB, free: 17.39 MB / 0.9981 GB Notification: Model statistics after passing the back-end for simulation: * Number of independent subsystems: 28 * Number of states: 7 (conVAV.setPoiVAV.ramLim.y,conVAV.cooPI.I.y,conVAV.heaPI.I.y,conVAV.conEco.mod.uTSup.I.y,conVAV.cooCoiVal.conCoi.I.y,conVAV.frePro.heaCoiCon1.I.y,conVAV.frePro.heaCoiMod.I.y) * Number of discrete variables: 446 (conVAV.frePro.holSta2.toFalse1.t_start,conVAV.frePro.holSta2.toTrue1.t_start,conVAV.frePro.holSta2.toFalse.t_start,conVAV.frePro.holSta2.toTrue.t_start,conVAV.modSetPoi.TZonSet.truHol.toInitial.t_start,conVAV.modSetPoi.TZonSet.truHol.toOutputTrue.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue1.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toTrue1.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toFalse.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toTrue.t_start,conVAV.conEco.enaDis.truFalHol.toFalse1.t_start,conVAV.conEco.enaDis.truFalHol.toTrue1.t_start,conVAV.conEco.enaDis.truFalHol.toFalse.t_start,conVAV.conEco.enaDis.truFalHol.toTrue.t_start,conVAV.plaReq.truDel1.u,conVAV.plaReq.truDel.u,conVAV.plaReq.truDel3.u,conVAV.plaReq.truDel2.u,conVAV.conEco.enaDis.truFalHol.outputFalse.localActive,conVAV.conEco.enaDis.truFalHol.onDel1.u,conVAV.conEco.enaDis.truFalHol.outputFalse.active,conVAV.conEco.enaDis.truFalHol.outputTrue.localActive,conVAV.conEco.enaDis.truFalHol.onDel2.u,conVAV.conEco.enaDis.truFalHol.outputTrue.active,conVAV.conEco.enaDis.truFalHol.initialStep.localActive,conVAV.switch.y,conVAV.modSetPoi.TZonSet.and10.y,conVAV.modSetPoi.opeModSel.or2.y,conVAV.modSetPoi.opeModSel.or7.y,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.localActive,conVAV.modSetPoi.opeModSel.truFalHol.onDel1.u,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.active,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.localActive,conVAV.modSetPoi.opeModSel.truFalHol.onDel2.u,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.active,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.localActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.localActive,conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.u,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.active,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.localActive,conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.u,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.active,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.localActive,conVAV.modSetPoi.opeModSel.endSetBac.y,conVAV.modSetPoi.opeModSel.endSetUp.y,conVAV.modSetPoi.TZonSet.edg.y,conVAV.modSetPoi.TZonSet.truHol.initialStep.localActive,conVAV.modSetPoi.TZonSet.truHol.onDelay.u,conVAV.modSetPoi.TZonSet.edg1.y,conVAV.modSetPoi.TZonSet.truHol.outputTrue.localActive,conVAV.modSetPoi.TZonSet.truHol.outputTrue.active,conVAV.frePro.or2.y,conVAV.frePro.endStaOne.y,conVAV.frePro.holSta2.outputFalse.localActive,conVAV.frePro.holSta2.onDel1.u,conVAV.frePro.holSta2.outputFalse.active,conVAV.frePro.endStaTwo.y,conVAV.frePro.holSta2.outputTrue.localActive,conVAV.frePro.holSta2.onDel2.u,conVAV.frePro.holSta2.outputTrue.active,conVAV.frePro.holSta2.initialStep.localActive,conVAV.frePro.pre.y,$cse8,$cse9,$cse10,$cse11,$cse13,$cse18,$cse19,$cse20,$cse21,$cse22,$cse23,$cse24,$cse25,$cse26,$cse27,$cse28,$cse29,$cse30,$cse31,$cse32,$cse33,$cse34,$whenCondition85,$whenCondition82,$whenCondition83,$whenCondition84,$whenCondition79,$whenCondition80,$whenCondition81,$whenCondition78,$whenCondition77,$whenCondition76,$whenCondition75,$whenCondition74,$whenCondition73,$whenCondition70,$whenCondition71,$whenCondition72,$whenCondition67,$whenCondition68,$whenCondition69,$whenCondition64,$whenCondition65,$whenCondition66,$whenCondition61,$whenCondition62,$whenCondition63,$whenCondition60,$whenCondition57,$whenCondition58,$whenCondition59,$whenCondition54,$whenCondition55,$whenCondition56,$whenCondition53,$whenCondition50,$whenCondition51,$whenCondition52,$whenCondition49,$whenCondition48,$whenCondition45,$whenCondition46,$whenCondition47,$whenCondition44,$whenCondition41,$whenCondition42,$whenCondition43,$whenCondition38,$whenCondition39,$whenCondition40,$whenCondition35,$whenCondition36,$whenCondition37,$whenCondition32,$whenCondition33,$whenCondition34,$whenCondition31,$whenCondition30,$whenCondition28,$whenCondition29,$whenCondition27,$whenCondition26,$whenCondition23,$whenCondition24,$whenCondition25,$whenCondition20,$whenCondition21,$whenCondition22,$whenCondition19,$whenCondition18,$whenCondition17,$whenCondition14,$whenCondition15,$whenCondition16,$whenCondition11,$whenCondition12,$whenCondition13,$whenCondition10,$whenCondition9,$whenCondition8,$whenCondition7,$whenCondition5,$whenCondition6,$whenCondition3,$whenCondition4,$whenCondition1,$whenCondition2,conVAV.y1SupFan,conVAV.yAla,conVAV.yChiWatResReq,conVAV.yChiPlaReq,conVAV.yHotWatResReq,conVAV.yHotWatPlaReq,conVAV.setPoiVAV.y1SupFan,conVAV.setPoiVAV.heaSta.greHys.y,conVAV.setPoiVAV.gre.greHys.y,conVAV.conEco.enaDis.andFrePro.u1,conVAV.conEco.enaDis.andFrePro.u2,conVAV.conEco.enaDis.andEnaDis.u1,conVAV.conEco.enaDis.andEnaDis.u2,conVAV.conEco.enaDis.andEnaDis.y,conVAV.conEco.enaDis.truFalHol.onDel1.y,conVAV.conEco.enaDis.truFalHol.onDel1.t_next,conVAV.conEco.enaDis.truFalHol.onDel2.y,conVAV.conEco.enaDis.truFalHol.onDel2.t_next,conVAV.conEco.enaDis.truFalHol.stateGraphRoot.activeSteps,conVAV.conEco.enaDis.truFalHol.outputFalse.inPort[2].occupied,conVAV.conEco.enaDis.truFalHol.outputFalse.newActive,conVAV.conEco.enaDis.truFalHol.outputFalse.oldActive,conVAV.conEco.enaDis.truFalHol.toTrue.localCondition,conVAV.conEco.enaDis.truFalHol.toTrue.enableFire,conVAV.conEco.enaDis.truFalHol.outputTrue.inPort[2].occupied,conVAV.conEco.enaDis.truFalHol.outputTrue.newActive,conVAV.conEco.enaDis.truFalHol.outputTrue.oldActive,conVAV.conEco.enaDis.truFalHol.toFalse.localCondition,conVAV.conEco.enaDis.truFalHol.toFalse.enableFire,conVAV.conEco.enaDis.truFalHol.initialStep.active,conVAV.conEco.enaDis.truFalHol.initialStep.outPort[2].available,conVAV.conEco.enaDis.truFalHol.initialStep.newActive,conVAV.conEco.enaDis.truFalHol.initialStep.oldActive,conVAV.conEco.enaDis.truFalHol.toTrue1.enableFire,conVAV.conEco.enaDis.truFalHol.toFalse1.enableFire,conVAV.conEco.enaDis.hysOutTem.y,conVAV.conEco.damLim.not1.y,conVAV.conEco.damLim.intLesEqu.y,conVAV.conEco.damLim.and1.u2,conVAV.conEco.damLim.and1.y,conVAV.conEco.damLim.noZerMin.greHys.y,conVAV.outAirSetPoi.or2.y,conVAV.outAirSetPoi.notOccMod.y,conVAV.outAirSetPoi.cooSup.greHys.y,conVAV.zonSta.yZonSta,conVAV.zonSta.booToIntHea.y,conVAV.zonSta.booToIntCoo.y,conVAV.zonSta.isDea.y,conVAV.zonSta.booToIntDea.y,conVAV.zonSta.hysUHea.y,conVAV.zonSta.hysUCoo.y,conVAV.zonSta.isCoo.y,conVAV.zonSta.hysU.y,conVAV.zonSta.notHea.y,conVAV.zonSta.addInt.y,conVAV.modSetPoi.yOpeMod,conVAV.modSetPoi.opeModSel.intGreThr.y,conVAV.modSetPoi.opeModSel.lat.y,conVAV.modSetPoi.opeModSel.lat1.y,conVAV.modSetPoi.opeModSel.lat2.y,conVAV.modSetPoi.opeModSel.hys2.y,conVAV.modSetPoi.opeModSel.hys3.y,conVAV.modSetPoi.opeModSel.hys4.y,conVAV.modSetPoi.opeModSel.hys5.y,conVAV.modSetPoi.opeModSel.hys9.y,conVAV.modSetPoi.opeModSel.hys10.y,conVAV.modSetPoi.opeModSel.intGreThr2.y,conVAV.modSetPoi.opeModSel.addInt.y,conVAV.modSetPoi.opeModSel.addInt1.y,conVAV.modSetPoi.opeModSel.addInt2.y,conVAV.modSetPoi.opeModSel.addInt3.y,conVAV.modSetPoi.opeModSel.addInt4.y,conVAV.modSetPoi.opeModSel.occMod.y,conVAV.modSetPoi.opeModSel.setBacMod.y,conVAV.modSetPoi.opeModSel.freProSetBacMod.y,conVAV.modSetPoi.opeModSel.setUpMod.y,conVAV.modSetPoi.opeModSel.edg.u,conVAV.modSetPoi.opeModSel.truFalHol.onDel1.y,conVAV.modSetPoi.opeModSel.truFalHol.onDel1.t_next,conVAV.modSetPoi.opeModSel.truFalHol.onDel2.y,conVAV.modSetPoi.opeModSel.truFalHol.onDel2.t_next,conVAV.modSetPoi.opeModSel.truFalHol.stateGraphRoot.activeSteps,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.inPort[2].occupied,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.newActive,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.oldActive,conVAV.modSetPoi.opeModSel.truFalHol.toTrue.localCondition,conVAV.modSetPoi.opeModSel.truFalHol.toTrue.enableFire,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.inPort[2].occupied,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.newActive,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.oldActive,conVAV.modSetPoi.opeModSel.truFalHol.toFalse.localCondition,conVAV.modSetPoi.opeModSel.truFalHol.toFalse.enableFire,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.active,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.outPort[2].available,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.newActive,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.oldActive,conVAV.modSetPoi.opeModSel.truFalHol.toTrue1.enableFire,conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.localCondition,conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.enableFire,conVAV.modSetPoi.opeModSel.edg1.u,conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.y,conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.t_next,conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.y,conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.t_next,conVAV.modSetPoi.opeModSel.truFalHol1.stateGraphRoot.activeSteps,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.inPort[2].occupied,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.newActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.oldActive,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.localCondition,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.enableFire,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.inPort[2].occupied,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.newActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.oldActive,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.localCondition,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.enableFire,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.active,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.outPort[2].available,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.newActive,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.oldActive,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue1.enableFire,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.localCondition,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.enableFire,conVAV.modSetPoi.opeModSel.booToInt3.y,conVAV.modSetPoi.opeModSel.not2.y,conVAV.modSetPoi.opeModSel.and1.y,conVAV.modSetPoi.opeModSel.or1.y,conVAV.modSetPoi.opeModSel.or9.y,conVAV.modSetPoi.opeModSel.or4.y,conVAV.modSetPoi.opeModSel.or5.y,conVAV.modSetPoi.opeModSel.notOcc.y,conVAV.modSetPoi.opeModSel.not1.y,conVAV.modSetPoi.opeModSel.setBacMod1.y,conVAV.modSetPoi.opeModSel.setBacMod2.y,conVAV.modSetPoi.opeModSel.and4.y,conVAV.modSetPoi.opeModSel.allCol.y,conVAV.modSetPoi.opeModSel.allHot.y,conVAV.modSetPoi.opeModSel.endSetBac.u,conVAV.modSetPoi.opeModSel.endSetUp.u,conVAV.modSetPoi.opeModSel.intGreThr1.y,conVAV.modSetPoi.opeModSel.addInt6.y,conVAV.modSetPoi.opeModSel.addInt7.y,conVAV.modSetPoi.opeModSel.or8.y,conVAV.modSetPoi.opeModSel.or10.y,conVAV.modSetPoi.TZonSet.yAla,conVAV.modSetPoi.TZonSet.cooSetFre.y,conVAV.modSetPoi.TZonSet.heaSetFre.y,conVAV.modSetPoi.TZonSet.tim.passed,conVAV.modSetPoi.TZonSet.tim.entryTime,conVAV.modSetPoi.TZonSet.truHol.stateGraphRoot.activeSteps,conVAV.modSetPoi.TZonSet.truHol.onDelay.y,conVAV.modSetPoi.TZonSet.truHol.onDelay.t_next,conVAV.modSetPoi.TZonSet.truHol.initialStep.active,conVAV.modSetPoi.TZonSet.truHol.initialStep.newActive,conVAV.modSetPoi.TZonSet.truHol.initialStep.oldActive,conVAV.modSetPoi.TZonSet.truHol.outputTrue.newActive,conVAV.modSetPoi.TZonSet.truHol.outputTrue.oldActive,conVAV.modSetPoi.TZonSet.truHol.toOutputTrue.enableFire,conVAV.modSetPoi.TZonSet.truHol.toInitial.enableFire,conVAV.modSetPoi.TZonSet.edg1.u,conVAV.modSetPoi.TZonSet.cooSetSam.y,conVAV.modSetPoi.TZonSet.heaSetSam.y,conVAV.modSetPoi.TZonSet.or6.y,conVAV.modSetPoi.TZonSet.intEqu.y,conVAV.modSetPoi.TZonSet.intEqu1.y,conVAV.modSetPoi.TZonSet.or9.y,conVAV.modSetPoi.TZonSet.and11.y,conVAV.modSetPoi.TZonSet.not5.y,conVAV.modSetPoi.TZonSet.les.y,conVAV.modSetPoi.TZonSet.gre.y,conVAV.modSetPoi.TZonSet.gre2.y,conVAV.modSetPoi.zonSta.yOccHeaHig,conVAV.modSetPoi.zonSta.yHigOccCoo,conVAV.modSetPoi.zonSta.yUnoHeaHig,conVAV.modSetPoi.zonSta.yHigUnoCoo,conVAV.modSetPoi.zonSta.hys.y,conVAV.modSetPoi.zonSta.hys1.y,conVAV.modSetPoi.zonSta.hys2.y,conVAV.modSetPoi.zonSta.hys5.y,conVAV.modSetPoi.zonSta.hys3.y,conVAV.modSetPoi.zonSta.hys4.y,conVAV.modSetPoi.colZon.y,conVAV.modSetPoi.hotZon.y,conVAV.modSetPoi.booToInt.y,conVAV.cooCoiVal.intEqu.y,conVAV.cooCoiVal.and2.y,conVAV.frePro.yFreProSta,conVAV.frePro.yHotWatPlaReq,conVAV.frePro.lesThr.lesHys.y,conVAV.frePro.tim.passed,conVAV.frePro.tim.entryTime,conVAV.frePro.hotWatPlaReq.y,conVAV.frePro.greThr.greHys.y,conVAV.frePro.lat.y,conVAV.frePro.tim1.passed,conVAV.frePro.tim1.entryTime,conVAV.frePro.endStaOne.u,conVAV.frePro.lesThr1.lesHys.y,conVAV.frePro.tim2.passed,conVAV.frePro.tim2.entryTime,conVAV.frePro.holSta2.onDel1.y,conVAV.frePro.holSta2.onDel1.t_next,conVAV.frePro.holSta2.onDel2.y,conVAV.frePro.holSta2.onDel2.t_next,conVAV.frePro.holSta2.stateGraphRoot.activeSteps,conVAV.frePro.holSta2.outputFalse.inPort[2].occupied,conVAV.frePro.holSta2.outputFalse.newActive,conVAV.frePro.holSta2.outputFalse.oldActive,conVAV.frePro.holSta2.toTrue.localCondition,conVAV.frePro.holSta2.toTrue.enableFire,conVAV.frePro.holSta2.outputTrue.inPort[2].occupied,conVAV.frePro.holSta2.outputTrue.newActive,conVAV.frePro.holSta2.outputTrue.oldActive,conVAV.frePro.holSta2.toFalse.localCondition,conVAV.frePro.holSta2.toFalse.enableFire,conVAV.frePro.holSta2.initialStep.active,conVAV.frePro.holSta2.initialStep.outPort[2].available,conVAV.frePro.holSta2.initialStep.newActive,conVAV.frePro.holSta2.initialStep.oldActive,conVAV.frePro.holSta2.toTrue1.enableFire,conVAV.frePro.holSta2.toFalse1.enableFire,conVAV.frePro.intSwi1.y,conVAV.frePro.tim3.passed,conVAV.frePro.tim3.entryTime,conVAV.frePro.lesThr2.lesHys.y,conVAV.frePro.tim4.passed,conVAV.frePro.tim4.entryTime,conVAV.frePro.lat1.y,conVAV.frePro.tim5.passed,conVAV.frePro.tim5.entryTime,conVAV.frePro.lat2.y,conVAV.frePro.endStaTwo.u,conVAV.frePro.falEdg.y,conVAV.frePro.falEdg.not_u,conVAV.frePro.intSwi4.y,conVAV.frePro.intSwi5.y,conVAV.frePro.pre.u,conVAV.plaReq.yHotWatPlaReq,conVAV.plaReq.greThr.greHys.y,conVAV.plaReq.greThr1.greHys.y,conVAV.plaReq.truDel.y,conVAV.plaReq.truDel.t_next,conVAV.plaReq.truDel1.y,conVAV.plaReq.truDel1.t_next,conVAV.plaReq.greThr2.greHys.y,conVAV.plaReq.chiWatRes2.y,conVAV.plaReq.lesThr.lesHys.y,conVAV.plaReq.lat.y,conVAV.plaReq.chiWatRes1.y,conVAV.plaReq.lat1.y,conVAV.plaReq.lesThr1.lesHys.y,conVAV.plaReq.greThr3.greHys.y,conVAV.plaReq.greThr4.greHys.y,conVAV.plaReq.truDel2.y,conVAV.plaReq.truDel2.t_next,conVAV.plaReq.truDel3.y,conVAV.plaReq.truDel3.t_next,conVAV.plaReq.hotWatRes2.y,conVAV.plaReq.lat2.y,conVAV.plaReq.hotWatRes1.y,conVAV.plaReq.lat3.y,conVAV.relDam.greThr.greHys.y,conVAV.relDam.and2.y,conVAV.freProMod.y,occSch.occupied,occSch.tOcc,occSch.tNonOcc,occSch.tNext,winSta.y,occSta.y,freRes.y,conVAV.conEco.ecoHigLim.and2.y,conVAV.modSetPoi.TZonSet.or5.y,conVAV.conEco.ecoHigLim.or15.y) * Number of discrete states: 94 (conVAV.conEco.enaDis.truFalHol.onDel1.t_next,conVAV.conEco.enaDis.truFalHol.onDel2.t_next,conVAV.modSetPoi.opeModSel.truFalHol.onDel1.t_next,conVAV.modSetPoi.opeModSel.truFalHol.onDel2.t_next,conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.t_next,conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.t_next,conVAV.modSetPoi.TZonSet.truHol.onDelay.t_next,conVAV.frePro.holSta2.onDel1.t_next,conVAV.frePro.holSta2.onDel2.t_next,conVAV.plaReq.truDel.t_next,conVAV.plaReq.truDel1.t_next,conVAV.plaReq.truDel2.t_next,conVAV.plaReq.truDel3.t_next,occSch.tNext,conVAV.relDam.greThr.greHys.y,conVAV.plaReq.lesThr1.lesHys.y,conVAV.plaReq.lesThr.lesHys.y,conVAV.plaReq.greThr2.greHys.y,conVAV.frePro.pre.u,conVAV.frePro.lesThr2.lesHys.y,conVAV.frePro.tim4.entryTime,conVAV.frePro.holSta2.initialStep.newActive,conVAV.frePro.holSta2.outputTrue.newActive,conVAV.frePro.tim5.entryTime,conVAV.frePro.endStaTwo.u,conVAV.frePro.falEdg.not_u,conVAV.frePro.holSta2.outputFalse.newActive,conVAV.frePro.lesThr1.lesHys.y,conVAV.frePro.tim3.entryTime,conVAV.frePro.tim2.entryTime,conVAV.frePro.greThr.greHys.y,conVAV.frePro.tim1.entryTime,conVAV.frePro.endStaOne.u,conVAV.frePro.lesThr.lesHys.y,conVAV.frePro.tim.entryTime,conVAV.modSetPoi.zonSta.hys4.y,conVAV.modSetPoi.zonSta.hys3.y,conVAV.modSetPoi.zonSta.hys5.y,conVAV.modSetPoi.zonSta.hys2.y,conVAV.modSetPoi.zonSta.hys1.y,conVAV.modSetPoi.zonSta.hys.y,conVAV.modSetPoi.TZonSet.truHol.outputTrue.newActive,conVAV.modSetPoi.TZonSet.edg1.u,conVAV.modSetPoi.TZonSet.truHol.initialStep.newActive,conVAV.modSetPoi.opeModSel.endSetUp.u,conVAV.modSetPoi.opeModSel.endSetBac.u,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.newActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.newActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.newActive,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.newActive,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.newActive,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.newActive,conVAV.modSetPoi.opeModSel.hys10.y,conVAV.modSetPoi.opeModSel.hys9.y,conVAV.modSetPoi.opeModSel.hys3.y,conVAV.modSetPoi.opeModSel.hys2.y,conVAV.modSetPoi.opeModSel.hys5.y,conVAV.modSetPoi.opeModSel.edg.u,conVAV.modSetPoi.opeModSel.hys4.y,conVAV.modSetPoi.opeModSel.edg1.u,conVAV.modSetPoi.TZonSet.tim.entryTime,conVAV.outAirSetPoi.cooSup.greHys.y,conVAV.conEco.damLim.noZerMin.greHys.y,conVAV.conEco.enaDis.hysOutTem.y,conVAV.conEco.enaDis.truFalHol.initialStep.newActive,conVAV.conEco.enaDis.truFalHol.outputTrue.newActive,conVAV.conEco.enaDis.truFalHol.outputFalse.newActive,conVAV.zonSta.hysUHea.y,conVAV.zonSta.hysUCoo.y,conVAV.zonSta.hysU.y,conVAV.setPoiVAV.gre.greHys.y,conVAV.setPoiVAV.heaSta.greHys.y,conVAV.plaReq.greThr3.greHys.y,conVAV.plaReq.greThr4.greHys.y,conVAV.plaReq.greThr.greHys.y,conVAV.plaReq.greThr1.greHys.y,conVAV.conEco.enaDis.truFalHol.toTrue.t_start,conVAV.conEco.enaDis.truFalHol.toFalse.t_start,conVAV.conEco.enaDis.truFalHol.toTrue1.t_start,conVAV.conEco.enaDis.truFalHol.toFalse1.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toTrue.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toFalse.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toTrue1.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue1.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.t_start,conVAV.modSetPoi.TZonSet.truHol.toOutputTrue.t_start,conVAV.modSetPoi.TZonSet.truHol.toInitial.t_start,conVAV.frePro.holSta2.toTrue.t_start,conVAV.frePro.holSta2.toFalse.t_start,conVAV.frePro.holSta2.toTrue1.t_start,conVAV.frePro.holSta2.toFalse1.t_start) * Number of clocked states: 0 () * Top-level inputs: 0 Notification: Strong component statistics for simulation (736): * Single equations (assignments): 646 * Array equations: 0 * Algorithm blocks: 0 * Record equations: 0 * When equations: 90 * If-equations: 0 * Equation systems (not torn): 0 * Torn equation systems: 0 * Mixed (continuous/discrete) equation systems: 0 Notification: Performance of Backend phase and start with SimCode phase: time 0.002753/2.286, allocations: 0.835 MB / 1.412 GB, free: 16.54 MB / 0.9981 GB Notification: Performance of simCode: created initialization part: time 0.07826/2.365, allocations: 12.84 MB / 1.425 GB, free: 3.625 MB / 0.9981 GB Notification: Performance of simCode: created event and clocks part: time 1.867e-05/2.365, allocations: 8.484 kB / 1.425 GB, free: 3.617 MB / 0.9981 GB Notification: Performance of simCode: created simulation system equations: time 0.01226/2.377, allocations: 4.932 MB / 1.43 GB, free: 14.68 MB / 1.014 GB Notification: Performance of simCode: created of all other equations (e.g. parameter, nominal, assert, etc): time 0.01133/2.388, allocations: 1.418 MB / 1.431 GB, free: 13.32 MB / 1.014 GB Notification: Performance of simCode: created linear, non-linear and system jacobian parts: time 0.04252/2.431, allocations: 14.55 MB / 1.445 GB, free: 14.64 MB / 1.029 GB Notification: Performance of simCode: some other stuff during SimCode phase: time 0.00913/2.44, allocations: 3.781 MB / 1.449 GB, free: 10.82 MB / 1.029 GB Notification: Performance of simCode: alias equations: time 0.008725/2.449, allocations: 1.177 MB / 1.45 GB, free: 9.637 MB / 1.029 GB Notification: Performance of simCode: all other stuff during SimCode phase: time 0.002644/2.451, allocations: 0.6125 MB / 1.451 GB, free: 9.023 MB / 1.029 GB Notification: Performance of SimCode: time 2.104e-06/2.452, allocations: 0 / 1.451 GB, free: 9.023 MB / 1.029 GB Notification: Performance of Templates: time 0.1965/2.648, allocations: 89.13 MB / 1.538 GB, free: 388 kB / 1.107 GB make -j1 -f Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller.makefile (rm -f Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller.pipe ; mkfifo Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller.pipe ; head -c 1048576 < Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller.pipe >> ../files/Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller.sim & ./Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller -abortSlowSimulation -alarm=480 -emit_protected -lv LOG_STATS > Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller.pipe 2>&1) diffSimulationResults("Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller_res.mat","/mnt/ReferenceFiles/Buildings/csv/master/Buildings_Controls_OBC_ASHRAE_G36_AHUs_SingleZone_VAV_Validation_Controller.csv","/var/lib/jenkins/ws/OpenModelicaLibraryTestingWork/OpenModelicaLibraryTesting/files/Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller.diff",relTol=0.003,relTolDiffMinMax=0.003,rangeDelta=0.001) Reference file matches