Running: ./testmodel.py --libraries=/home/hudson/saved_omc/libraries/.openmodelica/libraries --ompython_omhome=/usr Modelica_4.0.0_Modelica.Magnetic.FundamentalWave.Examples.BasicMachines.SynchronousMachines.SMPM_Braking.conf.json loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/ModelicaServices 4.0.0+maint.om/package.mo", uses=false) loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/Complex 4.0.0+maint.om/package.mo", uses=false) loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica 4.0.0+maint.om/package.mo", uses=false) Using package Modelica with version 4.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica 4.0.0+maint.om/package.mo) Using package Complex with version 4.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/Complex 4.0.0+maint.om/package.mo) Using package ModelicaServices with version 4.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/ModelicaServices 4.0.0+maint.om/package.mo) Running command: "" <> buildModelFMU(Modelica.Magnetic.FundamentalWave.Examples.BasicMachines.SynchronousMachines.SMPM_Braking,fileNamePrefix="Modelica_4_0_0_Modelica_Magnetic_FundamentalWave_Examples_BasicMachines_SynchronousMachines_SMPM_Braking",fmuType="me",version="2.0",platforms={"static"}) "" <> buildModelFMU(Modelica.Magnetic.FundamentalWave.Examples.BasicMachines.SynchronousMachines.SMPM_Braking,fileNamePrefix="Modelica_4_0_0_Modelica_Magnetic_FundamentalWave_Examples_BasicMachines_SynchronousMachines_SMPM_Braking",fmuType="me",version="2.0",platforms={"static"}) Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/ModelicaServices 4.0.0+maint.om/package.mo): time 0.001457/0.001457, allocations: 105.4 kB / 16.62 MB, free: 6.805 MB / 14.72 MB Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/Complex 4.0.0+maint.om/package.mo): time 0.001585/0.001585, allocations: 193.9 kB / 17.55 MB, free: 5.953 MB / 14.72 MB Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica 4.0.0+maint.om/package.mo): time 1.359/1.359, allocations: 222.9 MB / 241.2 MB, free: 13.73 MB / 206.1 MB Notification: Performance of FrontEnd - loaded program: time 0.001083/0.001083, allocations: 47.7 kB / 300.3 MB, free: 5.879 MB / 238.1 MB Notification: Performance of FrontEnd - Absyn->SCode: time 0.09879/0.09989, allocations: 49.45 MB / 349.7 MB, free: 4.422 MB / 286.1 MB Notification: Performance of NFInst.instantiate(Modelica.Magnetic.FundamentalWave.Examples.BasicMachines.SynchronousMachines.SMPM_Braking): time 0.01738/0.1173, allocations: 15.45 MB / 365.2 MB, free: 4.891 MB / 302.1 MB Notification: Performance of NFInst.instExpressions: time 0.3258/0.4432, allocations: 5.095 MB / 370.3 MB, free: 9.938 MB / 302.1 MB Notification: Performance of NFInst.updateImplicitVariability: time 0.006757/0.45, allocations: 92.03 kB / 370.3 MB, free: 9.938 MB / 302.1 MB Notification: Performance of NFTyping.typeComponents: time 0.006771/0.4568, allocations: 0.9489 MB / 371.3 MB, free: 9.934 MB / 302.1 MB Notification: Performance of NFTyping.typeBindings: time 0.008821/0.4657, allocations: 1.193 MB / 372.5 MB, free: 9.906 MB / 302.1 MB Notification: Performance of NFTyping.typeClassSections: time 0.0042/0.47, allocations: 0.8397 MB / 373.3 MB, free: 9.887 MB / 302.1 MB Notification: Performance of NFFlatten.flatten: time 0.01091/0.4809, allocations: 4.069 MB / 377.4 MB, free: 9.594 MB / 302.1 MB Notification: Performance of NFFlatten.resolveConnections: time 0.006332/0.4873, allocations: 3.204 MB / 380.6 MB, free: 8.719 MB / 302.1 MB Notification: Performance of NFEvalConstants.evaluate: time 0.00564/0.4929, allocations: 1.765 MB / 382.4 MB, free: 7.871 MB / 302.1 MB Notification: Performance of NFSimplifyModel.simplify: time 0.004986/0.4979, allocations: 2.102 MB / 384.5 MB, free: 6.887 MB / 302.1 MB Notification: Performance of NFPackage.collectConstants: time 0.0009488/0.4989, allocations: 496.1 kB / 384.9 MB, free: 6.887 MB / 302.1 MB Notification: Performance of NFFlatten.collectFunctions: time 0.002208/0.5011, allocations: 0.6924 MB / 385.6 MB, free: 6.82 MB / 302.1 MB Notification: Performance of NFScalarize.scalarize: time 0.001438/0.5026, allocations: 1.154 MB / 386.8 MB, free: 6.117 MB / 302.1 MB Notification: Performance of NFVerifyModel.verify: time 0.004243/0.5068, allocations: 2.12 MB / 388.9 MB, free: 4.723 MB / 302.1 MB Notification: Performance of NFConvertDAE.convert: time 0.0116/0.5185, allocations: 6.437 MB / 395.4 MB, free: 1.043 MB / 302.1 MB Notification: Performance of FrontEnd - DAE generated: time 1.127e-05/0.5185, allocations: 9.359 kB / 395.4 MB, free: 1.035 MB / 302.1 MB Notification: Performance of FrontEnd: time 3.386e-06/0.5185, allocations: 0 / 395.4 MB, free: 1.035 MB / 302.1 MB Notification: Performance of Transformations before backend: time 0.0002642/0.5188, allocations: 0 / 395.4 MB, free: 1.035 MB / 302.1 MB Notification: Model statistics after passing the front-end and creating the data structures used by the back-end: * Number of equations: 818 * Number of variables: 818 Notification: Performance of Generate backend data structure: time 0.01928/0.5381, allocations: 4.319 MB / 399.7 MB, free: 14.12 MB / 318.1 MB Notification: Performance of prepare preOptimizeDAE: time 9.02e-05/0.5382, allocations: 12.52 kB / 399.7 MB, free: 14.12 MB / 318.1 MB Notification: Performance of preOpt introduceOutputAliases (simulation): time 0.001269/0.5395, allocations: 447.4 kB / 400.1 MB, free: 13.79 MB / 318.1 MB Notification: Performance of preOpt normalInlineFunction (simulation): time 0.003224/0.5427, allocations: 0.632 MB / 400.8 MB, free: 13.48 MB / 318.1 MB Notification: Performance of preOpt evaluateParameters (simulation): time 0.004774/0.5475, allocations: 2.269 MB / 403 MB, free: 11.38 MB / 318.1 MB Notification: Performance of preOpt simplifyIfEquations (simulation): time 0.0001975/0.5477, allocations: 236.8 kB / 403.3 MB, free: 11.15 MB / 318.1 MB Notification: Performance of preOpt expandDerOperator (simulation): time 0.0004909/0.5482, allocations: 319.7 kB / 403.6 MB, free: 10.84 MB / 318.1 MB Notification: Performance of preOpt clockPartitioning (simulation): time 0.007958/0.5562, allocations: 4.179 MB / 407.8 MB, free: 6.578 MB / 318.1 MB Notification: Performance of preOpt findStateOrder (simulation): time 7.118e-05/0.5563, allocations: 4 kB / 407.8 MB, free: 6.574 MB / 318.1 MB Notification: Performance of preOpt replaceEdgeChange (simulation): time 0.000305/0.5566, allocations: 131.8 kB / 407.9 MB, free: 6.449 MB / 318.1 MB Notification: Performance of preOpt inlineArrayEqn (simulation): time 0.0001453/0.5568, allocations: 138.1 kB / 408 MB, free: 6.312 MB / 318.1 MB Notification: Performance of preOpt removeEqualRHS (simulation): time 0.006519/0.5633, allocations: 3.573 MB / 411.6 MB, free: 2.73 MB / 318.1 MB Notification: Performance of preOpt removeSimpleEquations (simulation): time 0.02363/0.5869, allocations: 13.71 MB / 425.3 MB, free: 4.746 MB / 334.1 MB Notification: Performance of preOpt comSubExp (simulation): time 0.005386/0.5924, allocations: 5.901 MB / 431.2 MB, free: 14.12 MB / 350.1 MB Notification: Performance of preOpt resolveLoops (simulation): time 0.002018/0.5944, allocations: 1.361 MB / 432.6 MB, free: 12.77 MB / 350.1 MB Notification: Performance of preOpt evalFunc (simulation): time 0.005338/0.5997, allocations: 3.183 MB / 435.8 MB, free: 9.543 MB / 350.1 MB Notification: Performance of preOpt encapsulateWhenConditions (simulation): time 4.5e-05/0.5998, allocations: 51.88 kB / 435.8 MB, free: 9.484 MB / 350.1 MB Notification: Performance of pre-optimization done (n=138): time 2.785e-06/0.5998, allocations: 0 / 435.8 MB, free: 9.484 MB / 350.1 MB Notification: Performance of matching and sorting (n=142): time 0.01358/0.6134, allocations: 6.644 MB / 442.4 MB, free: 2.656 MB / 350.1 MB Notification: Performance of inlineWhenForInitialization (initialization): time 0.0001129/0.6135, allocations: 94.42 kB / 442.5 MB, free: 2.547 MB / 350.1 MB Notification: Performance of selectInitializationVariablesDAE (initialization): time 0.003203/0.6168, allocations: 1.93 MB / 444.5 MB, free: 0.5742 MB / 350.1 MB Notification: Performance of collectPreVariables (initialization): time 0.0002357/0.617, allocations: 49.7 kB / 444.5 MB, free: 0.5195 MB / 350.1 MB Notification: Performance of collectInitialEqns (initialization): time 0.001327/0.6183, allocations: 1.456 MB / 446 MB, free: 15.07 MB / 366.1 MB Notification: Performance of collectInitialBindings (initialization): time 0.0004306/0.6188, allocations: 487.3 kB / 446.5 MB, free: 14.6 MB / 366.1 MB Notification: Performance of simplifyInitialFunctions (initialization): time 0.0004971/0.6193, allocations: 327.2 kB / 446.8 MB, free: 14.28 MB / 366.1 MB Notification: Performance of setup shared object (initialization): time 0.000105/0.6194, allocations: 305.1 kB / 447.1 MB, free: 13.98 MB / 366.1 MB Notification: Performance of preBalanceInitialSystem (initialization): time 0.001138/0.6205, allocations: 0.7621 MB / 447.8 MB, free: 13.21 MB / 366.1 MB Notification: Performance of partitionIndependentBlocks (initialization): time 0.001523/0.6221, allocations: 1.294 MB / 449.1 MB, free: 11.68 MB / 366.1 MB Notification: Performance of analyzeInitialSystem (initialization): time 0.002804/0.6249, allocations: 2.131 MB / 451.3 MB, free: 9.32 MB / 366.1 MB Notification: Performance of solveInitialSystemEqSystem (initialization): time 7.905e-06/0.6249, allocations: 4 kB / 451.3 MB, free: 9.316 MB / 366.1 MB Notification: Performance of matching and sorting (n=225) (initialization): time 0.004533/0.6294, allocations: 2.737 MB / 454 MB, free: 6.539 MB / 366.1 MB Notification: Performance of prepare postOptimizeDAE: time 3.082e-05/0.6295, allocations: 12 kB / 454 MB, free: 6.527 MB / 366.1 MB Notification: Performance of postOpt simplifyComplexFunction (initialization): time 2.786e-05/0.6295, allocations: 23.88 kB / 454 MB, free: 6.504 MB / 366.1 MB Notification: Performance of postOpt tearingSystem (initialization): time 0.001302/0.6308, allocations: 0.5328 MB / 454.6 MB, free: 5.961 MB / 366.1 MB Notification: Performance of postOpt solveSimpleEquations (initialization): time 0.001307/0.6322, allocations: 367.4 kB / 454.9 MB, free: 5.602 MB / 366.1 MB Notification: Performance of postOpt calculateStrongComponentJacobians (initialization): time 0.008293/0.6405, allocations: 7.918 MB / 462.8 MB, free: 13.27 MB / 382.1 MB Notification: Performance of postOpt simplifyAllExpressions (initialization): time 0.00201/0.6425, allocations: 95.83 kB / 462.9 MB, free: 13.18 MB / 382.1 MB Notification: Performance of postOpt collapseArrayExpressions (initialization): time 0.0001426/0.6427, allocations: 72 kB / 463 MB, free: 13.11 MB / 382.1 MB Notification: Performance of preBalanceInitialSystem (initialization_lambda0): time 0.00307/0.6457, allocations: 1.628 MB / 464.6 MB, free: 11.48 MB / 382.1 MB Notification: Performance of partitionIndependentBlocks (initialization_lambda0): time 0.001704/0.6474, allocations: 1.279 MB / 465.9 MB, free: 9.961 MB / 382.1 MB Notification: Performance of analyzeInitialSystem (initialization_lambda0): time 0.002881/0.6503, allocations: 2.11 MB / 468 MB, free: 7.621 MB / 382.1 MB Notification: Performance of solveInitialSystemEqSystem (initialization_lambda0): time 1.07e-05/0.6504, allocations: 8 kB / 468 MB, free: 7.613 MB / 382.1 MB Notification: Performance of matching and sorting (n=225) (initialization_lambda0): time 0.004455/0.6548, allocations: 2.725 MB / 470.8 MB, free: 4.848 MB / 382.1 MB Notification: Performance of prepare postOptimizeDAE: time 2.076e-05/0.6549, allocations: 15.98 kB / 470.8 MB, free: 4.832 MB / 382.1 MB Notification: Performance of postOpt simplifyComplexFunction (initialization): time 1.84e-05/0.6549, allocations: 16 kB / 470.8 MB, free: 4.816 MB / 382.1 MB Notification: Performance of postOpt tearingSystem (initialization): time 0.001124/0.656, allocations: 0.519 MB / 471.3 MB, free: 4.285 MB / 382.1 MB Notification: Performance of postOpt solveSimpleEquations (initialization): time 0.001262/0.6573, allocations: 359.4 kB / 471.7 MB, free: 3.934 MB / 382.1 MB Notification: Performance of postOpt calculateStrongComponentJacobians (initialization): time 0.008533/0.6658, allocations: 7.923 MB / 479.6 MB, free: 11.59 MB / 398.1 MB Notification: Performance of postOpt simplifyAllExpressions (initialization): time 0.00185/0.6677, allocations: 91.92 kB / 479.7 MB, free: 11.5 MB / 398.1 MB Notification: Performance of postOpt collapseArrayExpressions (initialization): time 0.0001756/0.6679, allocations: 72 kB / 479.7 MB, free: 11.43 MB / 398.1 MB Notification: Model statistics after passing the back-end for initialization: * Number of independent subsystems: 75 * Number of states: 0 () * Number of discrete variables: 6 (diodeBridge2mPulse.diode_n.idealDiode[3].off,diodeBridge2mPulse.diode_n.idealDiode[2].off,diodeBridge2mPulse.diode_n.idealDiode[1].off,diodeBridge2mPulse.diode_p.idealDiode[3].off,diodeBridge2mPulse.diode_p.idealDiode[2].off,diodeBridge2mPulse.diode_p.idealDiode[1].off) * Number of discrete states: 0 () * Number of clocked states: 0 () * Top-level inputs: 0 Notification: Strong component statistics for initialization (190): * Single equations (assignments): 186 * Array equations: 0 * Algorithm blocks: 0 * Record equations: 0 * When equations: 0 * If-equations: 0 * Equation systems (not torn): 1 * Torn equation systems: 3 * Mixed (continuous/discrete) equation systems: 0 Notification: Equation system details (not torn): * Constant Jacobian (size): 0 systems * Linear Jacobian (size,density): 1 system {(2,100.0%)} * Non-linear Jacobian (size): 0 systems * Without analytic Jacobian (size): 0 systems Notification: Torn system details for strict tearing set: * Linear torn systems (#iteration vars, #inner vars, density): 2 systems {(1,8,100.0%), (1,1,100.0%)} * Non-linear torn systems (#iteration vars, #inner vars): 1 system {(6,20)} Notification: Performance of prepare postOptimizeDAE: time 0.0004827/0.6684, allocations: 307.7 kB / 480 MB, free: 11.12 MB / 398.1 MB Notification: Performance of postOpt lateInlineFunction (simulation): time 0.0003963/0.6688, allocations: 205.5 kB / 480.2 MB, free: 10.92 MB / 398.1 MB Notification: Performance of postOpt wrapFunctionCalls (simulation): time 0.00425/0.6731, allocations: 2.501 MB / 482.7 MB, free: 8.359 MB / 398.1 MB Notification: Performance of postOpt inlineArrayEqn (simulation): time 1.331e-05/0.6731, allocations: 12 kB / 482.8 MB, free: 8.348 MB / 398.1 MB Notification: Performance of postOpt constantLinearSystem (simulation): time 9.669e-06/0.6731, allocations: 4 kB / 482.8 MB, free: 8.344 MB / 398.1 MB Notification: Performance of postOpt simplifysemiLinear (simulation): time 1.43e-05/0.6731, allocations: 7.969 kB / 482.8 MB, free: 8.336 MB / 398.1 MB Notification: Performance of postOpt removeSimpleEquations (simulation): time 0.008599/0.6817, allocations: 5.313 MB / 488.1 MB, free: 2.898 MB / 398.1 MB Notification: Performance of postOpt simplifyComplexFunction (simulation): time 8.165e-06/0.6818, allocations: 4 kB / 488.1 MB, free: 2.895 MB / 398.1 MB Notification: Performance of postOpt solveSimpleEquations (simulation): time 0.0008244/0.6826, allocations: 180 kB / 488.3 MB, free: 2.719 MB / 398.1 MB Notification: Performance of postOpt tearingSystem (simulation): time 0.001568/0.6842, allocations: 0.6639 MB / 488.9 MB, free: 2.039 MB / 398.1 MB Notification: Performance of postOpt inputDerivativesUsed (simulation): time 9.419e-05/0.6843, allocations: 24 kB / 488.9 MB, free: 2.016 MB / 398.1 MB Notification: Performance of postOpt calculateStrongComponentJacobians (simulation): time 0.01348/0.6978, allocations: 12.4 MB / 0.4896 GB, free: 4.93 MB / 414.1 MB Notification: Performance of postOpt calculateStateSetsJacobians (simulation): time 5.44e-06/0.6978, allocations: 4 kB / 0.4896 GB, free: 4.926 MB / 414.1 MB Notification: Performance of postOpt symbolicJacobian (simulation): time 0.006217/0.7041, allocations: 3.639 MB / 0.4931 GB, free: 1.191 MB / 414.1 MB Notification: Performance of postOpt removeConstants (simulation): time 0.001433/0.7055, allocations: 462.5 kB / 0.4936 GB, free: 0.7188 MB / 414.1 MB Notification: Performance of postOpt simplifyTimeIndepFuncCalls (simulation): time 0.0002964/0.7058, allocations: 27.94 kB / 0.4936 GB, free: 0.6914 MB / 414.1 MB Notification: Performance of postOpt simplifyAllExpressions (simulation): time 0.001005/0.7069, allocations: 79.92 kB / 0.4937 GB, free: 0.6133 MB / 414.1 MB Notification: Performance of postOpt findZeroCrossings (simulation): time 0.0003551/0.7072, allocations: 123.8 kB / 0.4938 GB, free: 0.4961 MB / 414.1 MB Notification: Performance of postOpt collapseArrayExpressions (simulation): time 8.408e-05/0.7073, allocations: 39.98 kB / 0.4938 GB, free: 468 kB / 414.1 MB Notification: Performance of sorting global known variables: time 0.002204/0.7095, allocations: 1.317 MB / 0.4951 GB, free: 15.12 MB / 430.1 MB Notification: Performance of sort global known variables: time 1.61e-07/0.7095, allocations: 0 / 0.4951 GB, free: 15.12 MB / 430.1 MB Notification: Performance of remove unused functions: time 0.003908/0.7135, allocations: 1.062 MB / 0.4962 GB, free: 14.05 MB / 430.1 MB Notification: Model statistics after passing the back-end for simulation: * Number of independent subsystems: 9 * Number of states: 5 (smpm.inertiaRotor.w,smpm.stator.zeroInductor.i0,smpm.stator.core.Phi.re,smpm.stator.core.Phi.im,inertiaLoad.phi) * Number of discrete variables: 6 (diodeBridge2mPulse.diode_p.idealDiode[1].off,diodeBridge2mPulse.diode_p.idealDiode[2].off,diodeBridge2mPulse.diode_p.idealDiode[3].off,diodeBridge2mPulse.diode_n.idealDiode[1].off,diodeBridge2mPulse.diode_n.idealDiode[2].off,diodeBridge2mPulse.diode_n.idealDiode[3].off) * Number of discrete states: 0 () * Number of clocked states: 0 () * Top-level inputs: 0 Notification: Strong component statistics for simulation (91): * Single equations (assignments): 86 * Array equations: 0 * Algorithm blocks: 0 * Record equations: 0 * When equations: 0 * If-equations: 0 * Equation systems (not torn): 0 * Torn equation systems: 5 * Mixed (continuous/discrete) equation systems: 0 Notification: Torn system details for strict tearing set: * Linear torn systems (#iteration vars, #inner vars, density): 4 systems {(1,8,100.0%), (1,8,100.0%), (1,1,100.0%), (2,8,100.0%)} * Non-linear torn systems (#iteration vars, #inner vars): 1 system {(6,20)} Notification: Performance of Backend phase and start with SimCode phase: time 0.005942/0.7194, allocations: 4.26 MB / 0.5003 GB, free: 9.691 MB / 430.1 MB Notification: Performance of simCode: created initialization part: time 0.007713/0.7271, allocations: 4.638 MB / 0.5049 GB, free: 4.938 MB / 430.1 MB Notification: Performance of simCode: created event and clocks part: time 7.775e-06/0.7272, allocations: 0 / 0.5049 GB, free: 4.938 MB / 430.1 MB Notification: Performance of simCode: created simulation system equations: time 0.002725/0.7299, allocations: 1.79 MB / 0.5066 GB, free: 3.09 MB / 430.1 MB Notification: Performance of simCode: created of all other equations (e.g. parameter, nominal, assert, etc): time 0.003422/0.7334, allocations: 0.6454 MB / 0.5072 GB, free: 2.449 MB / 430.1 MB Notification: Performance of simCode: created linear, non-linear and system jacobian parts: time 0.252/0.9854, allocations: 25.01 MB / 0.5317 GB, free: 146.9 MB / 446.1 MB Notification: Performance of simCode: some other stuff during SimCode phase: time 0.001867/0.9873, allocations: 2.32 MB / 0.5339 GB, free: 146.1 MB / 446.1 MB Notification: Performance of simCode: alias equations: time 0.001842/0.9892, allocations: 0.5323 MB / 0.5344 GB, free: 146.1 MB / 446.1 MB Notification: Performance of simCode: all other stuff during SimCode phase: time 0.001152/0.9903, allocations: 1.142 MB / 0.5356 GB, free: 145.9 MB / 446.1 MB Notification: Performance of SimCode: time 1.012e-06/0.9903, allocations: 0 / 0.5356 GB, free: 145.9 MB / 446.1 MB Notification: Performance of buildModelFMU: Generate the FMI files: time 0.1132/1.104, allocations: 62.76 MB / 0.5969 GB, free: 97.64 MB / 446.1 MB Notification: Performance of buildModelFMU: configured platform static using cached values: time 0.0001878/1.104, allocations: 291.9 kB / 0.5971 GB, free: 97.27 MB / 446.1 MB Notification: Performance of buildModelFMU: Generate platform static: time 3.598/4.701, allocations: 0 / 0.5971 GB, free: 97.27 MB / 446.1 MB (rm -f Modelica_4.0.0_Modelica.Magnetic.FundamentalWave.Examples.BasicMachines.SynchronousMachines.SMPM_Braking.pipe ; mkfifo Modelica_4.0.0_Modelica.Magnetic.FundamentalWave.Examples.BasicMachines.SynchronousMachines.SMPM_Braking.pipe ; head -c 1048576 < Modelica_4.0.0_Modelica.Magnetic.FundamentalWave.Examples.BasicMachines.SynchronousMachines.SMPM_Braking.pipe >> ../files/Modelica_4.0.0_Modelica.Magnetic.FundamentalWave.Examples.BasicMachines.SynchronousMachines.SMPM_Braking.sim & /home/hudson/saved_omc/OMSimulator/install/bin/OMSimulator -r=Modelica_4.0.0_Modelica.Magnetic.FundamentalWave.Examples.BasicMachines.SynchronousMachines.SMPM_Braking_res.mat --tempDir=temp_Modelica_4_0_0_Modelica_Magnetic_FundamentalWave_Examples_BasicMachines_SynchronousMachines_SMPM_Braking_fmu --startTime=0 --stopTime=0.8 --timeout=50 --tolerance=1e-06 Modelica_4_0_0_Modelica_Magnetic_FundamentalWave_Examples_BasicMachines_SynchronousMachines_SMPM_Braking.fmu > Modelica_4.0.0_Modelica.Magnetic.FundamentalWave.Examples.BasicMachines.SynchronousMachines.SMPM_Braking.pipe 2>&1) diffSimulationResults("Modelica_4.0.0_Modelica.Magnetic.FundamentalWave.Examples.BasicMachines.SynchronousMachines.SMPM_Braking_res.mat","/var/lib/jenkins1/ws/OpenModelicaLibraryTestingWork/Reference-modelica.org/ReferenceResults/MAP-LIB_ReferenceResults/v4.0.0/Modelica/Magnetic/FundamentalWave/Examples/BasicMachines/SynchronousMachines/SMPM_Braking/SMPM_Braking.csv","/var/lib/jenkins1/ws/OpenModelicaLibraryTestingWork/OpenModelicaLibraryTesting/files/Modelica_4.0.0_Modelica.Magnetic.FundamentalWave.Examples.BasicMachines.SynchronousMachines.SMPM_Braking.diff",relTol=0.003,relTolDiffMinMax=0.003,rangeDelta=0.001) "" Variables in the reference:time,inertiaLoad.phi,inertiaLoad.w,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].Phi.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].Phi.im,// smpm.stator.zeroInductor.i0,smpm.is[1],smpm.is[2] Variables in the result:JLoad,R,ac2dc.k,ac2dc.u,ac2dc.y,currentQuasiRMSSensor.CurrentSensor1.currentSensor[1].i,currentQuasiRMSSensor.CurrentSensor1.currentSensor[1].n.i,currentQuasiRMSSensor.CurrentSensor1.currentSensor[1].n.v,currentQuasiRMSSensor.CurrentSensor1.currentSensor[1].p.i,currentQuasiRMSSensor.CurrentSensor1.currentSensor[1].p.v,currentQuasiRMSSensor.CurrentSensor1.currentSensor[2].i,currentQuasiRMSSensor.CurrentSensor1.currentSensor[2].n.i,currentQuasiRMSSensor.CurrentSensor1.currentSensor[2].n.v,currentQuasiRMSSensor.CurrentSensor1.currentSensor[2].p.i,currentQuasiRMSSensor.CurrentSensor1.currentSensor[2].p.v,currentQuasiRMSSensor.CurrentSensor1.currentSensor[3].i,currentQuasiRMSSensor.CurrentSensor1.currentSensor[3].n.i,currentQuasiRMSSensor.CurrentSensor1.currentSensor[3].n.v,currentQuasiRMSSensor.CurrentSensor1.currentSensor[3].p.i,currentQuasiRMSSensor.CurrentSensor1.currentSensor[3].p.v,currentQuasiRMSSensor.CurrentSensor1.i[1],currentQuasiRMSSensor.CurrentSensor1.i[2],currentQuasiRMSSensor.CurrentSensor1.i[3],currentQuasiRMSSensor.CurrentSensor1.m,currentQuasiRMSSensor.CurrentSensor1.plug_n.m,currentQuasiRMSSensor.CurrentSensor1.plug_n.pin[1].i,currentQuasiRMSSensor.CurrentSensor1.plug_n.pin[1].v,currentQuasiRMSSensor.CurrentSensor1.plug_n.pin[2].i,currentQuasiRMSSensor.CurrentSensor1.plug_n.pin[2].v,currentQuasiRMSSensor.CurrentSensor1.plug_n.pin[3].i,currentQuasiRMSSensor.CurrentSensor1.plug_n.pin[3].v,currentQuasiRMSSensor.CurrentSensor1.plug_p.m,currentQuasiRMSSensor.CurrentSensor1.plug_p.pin[1].i,currentQuasiRMSSensor.CurrentSensor1.plug_p.pin[1].v,currentQuasiRMSSensor.CurrentSensor1.plug_p.pin[2].i,currentQuasiRMSSensor.CurrentSensor1.plug_p.pin[2].v,currentQuasiRMSSensor.CurrentSensor1.plug_p.pin[3].i,currentQuasiRMSSensor.CurrentSensor1.plug_p.pin[3].v,currentQuasiRMSSensor.Gain1.k,currentQuasiRMSSensor.Gain1.u,currentQuasiRMSSensor.Gain1.y,currentQuasiRMSSensor.I,currentQuasiRMSSensor.ToPolar1.n,currentQuasiRMSSensor.ToPolar1.u[1],currentQuasiRMSSensor.ToPolar1.u[2],currentQuasiRMSSensor.ToPolar1.y[1],currentQuasiRMSSensor.ToPolar1.y[2],currentQuasiRMSSensor.ToSpacePhasor1.m,currentQuasiRMSSensor.ToSpacePhasor1.nin,currentQuasiRMSSensor.ToSpacePhasor1.nout,currentQuasiRMSSensor.ToSpacePhasor1.u[1],currentQuasiRMSSensor.ToSpacePhasor1.u[2],currentQuasiRMSSensor.ToSpacePhasor1.u[3],currentQuasiRMSSensor.ToSpacePhasor1.y[1],currentQuasiRMSSensor.ToSpacePhasor1.y[2],currentQuasiRMSSensor.ToSpacePhasor1.zero,currentQuasiRMSSensor.plug_n.m,currentQuasiRMSSensor.plug_n.pin[1].i,currentQuasiRMSSensor.plug_n.pin[1].v,currentQuasiRMSSensor.plug_n.pin[2].i,currentQuasiRMSSensor.plug_n.pin[2].v,currentQuasiRMSSensor.plug_n.pin[3].i,currentQuasiRMSSensor.plug_n.pin[3].v,currentQuasiRMSSensor.plug_p.m,currentQuasiRMSSensor.plug_p.pin[1].i,currentQuasiRMSSensor.plug_p.pin[1].v,currentQuasiRMSSensor.plug_p.pin[2].i,currentQuasiRMSSensor.plug_p.pin[2].v,currentQuasiRMSSensor.plug_p.pin[3].i,currentQuasiRMSSensor.plug_p.pin[3].v,der(inertiaLoad.phi),der(smpm.friction.phi),der(smpm.inertiaRotor.w),der(smpm.permanentMagnet.phi),der(smpm.phiMechanical),der(smpm.stator.core.Phi.im),der(smpm.stator.core.Phi.re),der(smpm.stator.zeroInductor.i0),der(smpm.strayLoad.phi),diodeBridge2mPulse.GoffDiode,diodeBridge2mPulse.LossPower,diodeBridge2mPulse.RonDiode,diodeBridge2mPulse.T,diodeBridge2mPulse.T_heatPort,diodeBridge2mPulse.VkneeDiode,diodeBridge2mPulse.ac.m,diodeBridge2mPulse.ac.pin[1].i,diodeBridge2mPulse.ac.pin[1].v,diodeBridge2mPulse.ac.pin[2].i,diodeBridge2mPulse.ac.pin[2].v,diodeBridge2mPulse.ac.pin[3].i,diodeBridge2mPulse.ac.pin[3].v,diodeBridge2mPulse.dc_n.i,diodeBridge2mPulse.dc_n.v,diodeBridge2mPulse.dc_p.i,diodeBridge2mPulse.dc_p.v,diodeBridge2mPulse.diode_n.Goff[1],diodeBridge2mPulse.diode_n.Goff[2],diodeBridge2mPulse.diode_n.Goff[3],diodeBridge2mPulse.diode_n.Ron[1],diodeBridge2mPulse.diode_n.Ron[2],diodeBridge2mPulse.diode_n.Ron[3],diodeBridge2mPulse.diode_n.T[1],diodeBridge2mPulse.diode_n.T[2],diodeBridge2mPulse.diode_n.T[3],diodeBridge2mPulse.diode_n.Vknee[1],diodeBridge2mPulse.diode_n.Vknee[2],diodeBridge2mPulse.diode_n.Vknee[3],diodeBridge2mPulse.diode_n.i[1],diodeBridge2mPulse.diode_n.i[2],diodeBridge2mPulse.diode_n.i[3],diodeBridge2mPulse.diode_n.idealDiode[1].Goff,diodeBridge2mPulse.diode_n.idealDiode[1].LossPower,diodeBridge2mPulse.diode_n.idealDiode[1].Ron,diodeBridge2mPulse.diode_n.idealDiode[1].T,diodeBridge2mPulse.diode_n.idealDiode[1].T_heatPort,diodeBridge2mPulse.diode_n.idealDiode[1].Vknee,diodeBridge2mPulse.diode_n.idealDiode[1].i,diodeBridge2mPulse.diode_n.idealDiode[1].n.i,diodeBridge2mPulse.diode_n.idealDiode[1].n.v,diodeBridge2mPulse.diode_n.idealDiode[1].off,diodeBridge2mPulse.diode_n.idealDiode[1].p.i,diodeBridge2mPulse.diode_n.idealDiode[1].p.v,diodeBridge2mPulse.diode_n.idealDiode[1].useHeatPort,diodeBridge2mPulse.diode_n.idealDiode[1].v,diodeBridge2mPulse.diode_n.idealDiode[2].Goff,diodeBridge2mPulse.diode_n.idealDiode[2].LossPower,diodeBridge2mPulse.diode_n.idealDiode[2].Ron,diodeBridge2mPulse.diode_n.idealDiode[2].T,diodeBridge2mPulse.diode_n.idealDiode[2].T_heatPort,diodeBridge2mPulse.diode_n.idealDiode[2].Vknee,diodeBridge2mPulse.diode_n.idealDiode[2].i,diodeBridge2mPulse.diode_n.idealDiode[2].n.i,diodeBridge2mPulse.diode_n.idealDiode[2].n.v,diodeBridge2mPulse.diode_n.idealDiode[2].off,diodeBridge2mPulse.diode_n.idealDiode[2].p.i,diodeBridge2mPulse.diode_n.idealDiode[2].p.v,diodeBridge2mPulse.diode_n.idealDiode[2].useHeatPort,diodeBridge2mPulse.diode_n.idealDiode[2].v,diodeBridge2mPulse.diode_n.idealDiode[3].Goff,diodeBridge2mPulse.diode_n.idealDiode[3].LossPower,diodeBridge2mPulse.diode_n.idealDiode[3].Ron,diodeBridge2mPulse.diode_n.idealDiode[3].T,diodeBridge2mPulse.diode_n.idealDiode[3].T_heatPort,diodeBridge2mPulse.diode_n.idealDiode[3].Vknee,diodeBridge2mPulse.diode_n.idealDiode[3].i,diodeBridge2mPulse.diode_n.idealDiode[3].n.i,diodeBridge2mPulse.diode_n.idealDiode[3].n.v,diodeBridge2mPulse.diode_n.idealDiode[3].off,diodeBridge2mPulse.diode_n.idealDiode[3].p.i,diodeBridge2mPulse.diode_n.idealDiode[3].p.v,diodeBridge2mPulse.diode_n.idealDiode[3].useHeatPort,diodeBridge2mPulse.diode_n.idealDiode[3].v,diodeBridge2mPulse.diode_n.m,diodeBridge2mPulse.diode_n.mh,diodeBridge2mPulse.diode_n.plug_n.m,diodeBridge2mPulse.diode_n.plug_n.pin[1].i,diodeBridge2mPulse.diode_n.plug_n.pin[1].v,diodeBridge2mPulse.diode_n.plug_n.pin[2].i,diodeBridge2mPulse.diode_n.plug_n.pin[2].v,diodeBridge2mPulse.diode_n.plug_n.pin[3].i,diodeBridge2mPulse.diode_n.plug_n.pin[3].v,diodeBridge2mPulse.diode_n.plug_p.m,diodeBridge2mPulse.diode_n.plug_p.pin[1].i,diodeBridge2mPulse.diode_n.plug_p.pin[1].v,diodeBridge2mPulse.diode_n.plug_p.pin[2].i,diodeBridge2mPulse.diode_n.plug_p.pin[2].v,diodeBridge2mPulse.diode_n.plug_p.pin[3].i,diodeBridge2mPulse.diode_n.plug_p.pin[3].v,diodeBridge2mPulse.diode_n.useHeatPort,diodeBridge2mPulse.diode_n.v[1],diodeBridge2mPulse.diode_n.v[2],diodeBridge2mPulse.diode_n.v[3],diodeBridge2mPulse.diode_p.Goff[1],diodeBridge2mPulse.diode_p.Goff[2],diodeBridge2mPulse.diode_p.Goff[3],diodeBridge2mPulse.diode_p.Ron[1],diodeBridge2mPulse.diode_p.Ron[2],diodeBridge2mPulse.diode_p.Ron[3],diodeBridge2mPulse.diode_p.T[1],diodeBridge2mPulse.diode_p.T[2],diodeBridge2mPulse.diode_p.T[3],diodeBridge2mPulse.diode_p.Vknee[1],diodeBridge2mPulse.diode_p.Vknee[2],diodeBridge2mPulse.diode_p.Vknee[3],diodeBridge2mPulse.diode_p.i[1],diodeBridge2mPulse.diode_p.i[2],diodeBridge2mPulse.diode_p.i[3],diodeBridge2mPulse.diode_p.idealDiode[1].Goff,diodeBridge2mPulse.diode_p.idealDiode[1].LossPower,diodeBridge2mPulse.diode_p.idealDiode[1].Ron,diodeBridge2mPulse.diode_p.idealDiode[1].T,diodeBridge2mPulse.diode_p.idealDiode[1].T_heatPort,diodeBridge2mPulse.diode_p.idealDiode[1].Vknee,diodeBridge2mPulse.diode_p.idealDiode[1].i,diodeBridge2mPulse.diode_p.idealDiode[1].n.i,diodeBridge2mPulse.diode_p.idealDiode[1].n.v,diodeBridge2mPulse.diode_p.idealDiode[1].off,diodeBridge2mPulse.diode_p.idealDiode[1].p.i,diodeBridge2mPulse.diode_p.idealDiode[1].p.v,diodeBridge2mPulse.diode_p.idealDiode[1].useHeatPort,diodeBridge2mPulse.diode_p.idealDiode[1].v,diodeBridge2mPulse.diode_p.idealDiode[2].Goff,diodeBridge2mPulse.diode_p.idealDiode[2].LossPower,diodeBridge2mPulse.diode_p.idealDiode[2].Ron,diodeBridge2mPulse.diode_p.idealDiode[2].T,diodeBridge2mPulse.diode_p.idealDiode[2].T_heatPort,diodeBridge2mPulse.diode_p.idealDiode[2].Vknee,diodeBridge2mPulse.diode_p.idealDiode[2].i,diodeBridge2mPulse.diode_p.idealDiode[2].n.i,diodeBridge2mPulse.diode_p.idealDiode[2].n.v,diodeBridge2mPulse.diode_p.idealDiode[2].off,diodeBridge2mPulse.diode_p.idealDiode[2].p.i,diodeBridge2mPulse.diode_p.idealDiode[2].p.v,diodeBridge2mPulse.diode_p.idealDiode[2].useHeatPort,diodeBridge2mPulse.diode_p.idealDiode[2].v,diodeBridge2mPulse.diode_p.idealDiode[3].Goff,diodeBridge2mPulse.diode_p.idealDiode[3].LossPower,diodeBridge2mPulse.diode_p.idealDiode[3].Ron,diodeBridge2mPulse.diode_p.idealDiode[3].T,diodeBridge2mPulse.diode_p.idealDiode[3].T_heatPort,diodeBridge2mPulse.diode_p.idealDiode[3].Vknee,diodeBridge2mPulse.diode_p.idealDiode[3].i,diodeBridge2mPulse.diode_p.idealDiode[3].n.i,diodeBridge2mPulse.diode_p.idealDiode[3].n.v,diodeBridge2mPulse.diode_p.idealDiode[3].off,diodeBridge2mPulse.diode_p.idealDiode[3].p.i,diodeBridge2mPulse.diode_p.idealDiode[3].p.v,diodeBridge2mPulse.diode_p.idealDiode[3].useHeatPort,diodeBridge2mPulse.diode_p.idealDiode[3].v,diodeBridge2mPulse.diode_p.m,diodeBridge2mPulse.diode_p.mh,diodeBridge2mPulse.diode_p.plug_n.m,diodeBridge2mPulse.diode_p.plug_n.pin[1].i,diodeBridge2mPulse.diode_p.plug_n.pin[1].v,diodeBridge2mPulse.diode_p.plug_n.pin[2].i,diodeBridge2mPulse.diode_p.plug_n.pin[2].v,diodeBridge2mPulse.diode_p.plug_n.pin[3].i,diodeBridge2mPulse.diode_p.plug_n.pin[3].v,diodeBridge2mPulse.diode_p.plug_p.m,diodeBridge2mPulse.diode_p.plug_p.pin[1].i,diodeBridge2mPulse.diode_p.plug_p.pin[1].v,diodeBridge2mPulse.diode_p.plug_p.pin[2].i,diodeBridge2mPulse.diode_p.plug_p.pin[2].v,diodeBridge2mPulse.diode_p.plug_p.pin[3].i,diodeBridge2mPulse.diode_p.plug_p.pin[3].v,diodeBridge2mPulse.diode_p.useHeatPort,diodeBridge2mPulse.diode_p.v[1],diodeBridge2mPulse.diode_p.v[2],diodeBridge2mPulse.diode_p.v[3],diodeBridge2mPulse.iAC[1],diodeBridge2mPulse.iAC[2],diodeBridge2mPulse.iAC[3],diodeBridge2mPulse.iDC,diodeBridge2mPulse.m,diodeBridge2mPulse.powerAC[1],diodeBridge2mPulse.powerAC[2],diodeBridge2mPulse.powerAC[3],diodeBridge2mPulse.powerDC,diodeBridge2mPulse.powerTotalAC,diodeBridge2mPulse.star_n.m,diodeBridge2mPulse.star_n.pin_n.i,diodeBridge2mPulse.star_n.pin_n.v,diodeBridge2mPulse.star_n.plug_p.m,diodeBridge2mPulse.star_n.plug_p.pin[1].i,diodeBridge2mPulse.star_n.plug_p.pin[1].v,diodeBridge2mPulse.star_n.plug_p.pin[2].i,diodeBridge2mPulse.star_n.plug_p.pin[2].v,diodeBridge2mPulse.star_n.plug_p.pin[3].i,diodeBridge2mPulse.star_n.plug_p.pin[3].v,diodeBridge2mPulse.star_p.m,diodeBridge2mPulse.star_p.pin_n.i,diodeBridge2mPulse.star_p.pin_n.v,diodeBridge2mPulse.star_p.plug_p.m,diodeBridge2mPulse.star_p.plug_p.pin[1].i,diodeBridge2mPulse.star_p.plug_p.pin[1].v,diodeBridge2mPulse.star_p.plug_p.pin[2].i,diodeBridge2mPulse.star_p.plug_p.pin[2].v,diodeBridge2mPulse.star_p.plug_p.pin[3].i,diodeBridge2mPulse.star_p.plug_p.pin[3].v,diodeBridge2mPulse.useHeatPort,diodeBridge2mPulse.vAC[1],diodeBridge2mPulse.vAC[2],diodeBridge2mPulse.vAC[3],diodeBridge2mPulse.vDC,gain.k,gain.u,gain.y,ground.p.i,ground.p.v,grounding.LossPower,grounding.R,grounding.R_actual,grounding.T,grounding.T_heatPort,grounding.T_ref,grounding.alpha,grounding.i,grounding.n.i,grounding.n.v,grounding.p.i,grounding.p.v,grounding.useHeatPort,grounding.v,inertiaLoad.J,inertiaLoad.a,inertiaLoad.flange_a.phi,inertiaLoad.flange_a.tau,inertiaLoad.flange_b.phi,inertiaLoad.flange_b.tau,inertiaLoad.phi,inertiaLoad.stateSelect,inertiaLoad.w,limiter.homotopyType,limiter.strict,limiter.u,limiter.uMax,limiter.uMin,limiter.y,smpm.Jr,smpm.Js,smpm.L0.d,smpm.L0.q,smpm.Lmd,smpm.Lmq,smpm.Lrsigmad,smpm.Lrsigmaq,smpm.Lssigma,smpm.Lszero,smpm.Rrd,smpm.Rrq,smpm.Rs,smpm.TpmOperational,smpm.TrOperational,smpm.TrRef,smpm.TsOperational,smpm.TsRef,smpm.VsOpenCircuit,smpm.airGap.L0.d,smpm.airGap.L0.q,smpm.airGap.Phi_rr.im,smpm.airGap.Phi_rr.re,smpm.airGap.Phi_sr.im,smpm.airGap.Phi_sr.re,smpm.airGap.Phi_ss.im,smpm.airGap.Phi_ss.re,smpm.airGap.R_m.d,smpm.airGap.R_m.q,smpm.airGap.V_mrr.im,smpm.airGap.V_mrr.re,smpm.airGap.V_msr.im,smpm.airGap.V_msr.re,smpm.airGap.V_mss.im,smpm.airGap.V_mss.re,smpm.airGap.flange_a.phi,smpm.airGap.flange_a.tau,smpm.airGap.gamma,smpm.airGap.p,smpm.airGap.port_rn.Phi.im,smpm.airGap.port_rn.Phi.re,smpm.airGap.port_rn.V_m.im,smpm.airGap.port_rn.V_m.re,smpm.airGap.port_rp.Phi.im,smpm.airGap.port_rp.Phi.re,smpm.airGap.port_rp.V_m.im,smpm.airGap.port_rp.V_m.re,smpm.airGap.port_sn.Phi.im,smpm.airGap.port_sn.Phi.re,smpm.airGap.port_sn.V_m.im,smpm.airGap.port_sn.V_m.re,smpm.airGap.port_sp.Phi.im,smpm.airGap.port_sp.Phi.re,smpm.airGap.port_sp.V_m.im,smpm.airGap.port_sp.V_m.re,smpm.airGap.rotator.im,smpm.airGap.rotator.re,smpm.airGap.support.phi,smpm.airGap.support.tau,smpm.airGap.tauElectrical,smpm.alpha20r,smpm.alpha20s,smpm.effectiveStatorTurns,smpm.fixed.flange.phi,smpm.fixed.flange.tau,smpm.fixed.phi0,smpm.flange.phi,smpm.flange.tau,smpm.friction.flange.phi,smpm.friction.flange.tau,smpm.friction.frictionParameters.PRef,smpm.friction.frictionParameters.linear,smpm.friction.frictionParameters.power_w,smpm.friction.frictionParameters.tauLinear,smpm.friction.frictionParameters.tauRef,smpm.friction.frictionParameters.wLinear,smpm.friction.frictionParameters.wRef,smpm.friction.heatPort.Q_flow,smpm.friction.heatPort.T,smpm.friction.lossPower,smpm.friction.phi,smpm.friction.support.phi,smpm.friction.support.tau,smpm.friction.tau,smpm.friction.useHeatPort,smpm.friction.w,smpm.frictionParameters.PRef,smpm.frictionParameters.linear,smpm.frictionParameters.power_w,smpm.frictionParameters.tauLinear,smpm.frictionParameters.tauRef,smpm.frictionParameters.wLinear,smpm.frictionParameters.wRef,smpm.fsNominal,smpm.groundR.port_p.Phi.im,smpm.groundR.port_p.Phi.re,smpm.groundR.port_p.V_m.im,smpm.groundR.port_p.V_m.re,smpm.groundS.port_p.Phi.im,smpm.groundS.port_p.Phi.re,smpm.groundS.port_p.V_m.im,smpm.groundS.port_p.V_m.re,smpm.inertiaRotor.J,smpm.inertiaRotor.a,smpm.inertiaRotor.flange_a.phi,smpm.inertiaRotor.flange_a.tau,smpm.inertiaRotor.flange_b.phi,smpm.inertiaRotor.flange_b.tau,smpm.inertiaRotor.phi,smpm.inertiaRotor.stateSelect,smpm.inertiaRotor.w,smpm.inertiaStator.J,smpm.inertiaStator.a,smpm.inertiaStator.flange_a.phi,smpm.inertiaStator.flange_a.tau,smpm.inertiaStator.flange_b.phi,smpm.inertiaStator.flange_b.tau,smpm.inertiaStator.phi,smpm.inertiaStator.stateSelect,smpm.inertiaStator.w,smpm.internalSupport.phi,smpm.internalSupport.tau,smpm.internalThermalPort.heatPortFriction.Q_flow,smpm.internalThermalPort.heatPortFriction.T,smpm.internalThermalPort.heatPortPermanentMagnet.Q_flow,smpm.internalThermalPort.heatPortPermanentMagnet.T,smpm.internalThermalPort.heatPortRotorCore.Q_flow,smpm.internalThermalPort.heatPortRotorCore.T,smpm.internalThermalPort.heatPortStatorCore.Q_flow,smpm.internalThermalPort.heatPortStatorCore.T,smpm.internalThermalPort.heatPortStatorWinding[1].Q_flow,smpm.internalThermalPort.heatPortStatorWinding[1].T,smpm.internalThermalPort.heatPortStatorWinding[2].Q_flow,smpm.internalThermalPort.heatPortStatorWinding[2].T,smpm.internalThermalPort.heatPortStatorWinding[3].Q_flow,smpm.internalThermalPort.heatPortStatorWinding[3].T,smpm.internalThermalPort.heatPortStrayLoad.Q_flow,smpm.internalThermalPort.heatPortStrayLoad.T,smpm.internalThermalPort.m,smpm.internalThermalPort.useDamperCage,smpm.is[1],smpm.is[2],smpm.is[3],smpm.m,smpm.p,smpm.permanentMagnet.Phi.im,smpm.permanentMagnet.Phi.re,smpm.permanentMagnet.V_m.im,smpm.permanentMagnet.V_m.re,smpm.permanentMagnet.abs_Phi,smpm.permanentMagnet.abs_V_m,smpm.permanentMagnet.arg_Phi,smpm.permanentMagnet.arg_V_m,smpm.permanentMagnet.flange.phi,smpm.permanentMagnet.flange.tau,smpm.permanentMagnet.heatPort.Q_flow,smpm.permanentMagnet.heatPort.T,smpm.permanentMagnet.iRMS,smpm.permanentMagnet.is[1],smpm.permanentMagnet.is[2],smpm.permanentMagnet.is[3],smpm.permanentMagnet.lossPower,smpm.permanentMagnet.m,smpm.permanentMagnet.permanentMagnetLossParameters.IRef,smpm.permanentMagnet.permanentMagnetLossParameters.PRef,smpm.permanentMagnet.permanentMagnetLossParameters.c,smpm.permanentMagnet.permanentMagnetLossParameters.power_I,smpm.permanentMagnet.permanentMagnetLossParameters.power_w,smpm.permanentMagnet.permanentMagnetLossParameters.tauRef,smpm.permanentMagnet.permanentMagnetLossParameters.wRef,smpm.permanentMagnet.phi,smpm.permanentMagnet.port_n.Phi.im,smpm.permanentMagnet.port_n.Phi.re,smpm.permanentMagnet.port_n.V_m.im,smpm.permanentMagnet.port_n.V_m.re,smpm.permanentMagnet.port_p.Phi.im,smpm.permanentMagnet.port_p.Phi.re,smpm.permanentMagnet.port_p.V_m.im,smpm.permanentMagnet.port_p.V_m.re,smpm.permanentMagnet.support.phi,smpm.permanentMagnet.support.tau,smpm.permanentMagnet.tau,smpm.permanentMagnet.useHeatPort,smpm.permanentMagnet.w,smpm.permanentMagnetLossParameters.IRef,smpm.permanentMagnetLossParameters.PRef,smpm.permanentMagnetLossParameters.c,smpm.permanentMagnetLossParameters.power_I,smpm.permanentMagnetLossParameters.power_w,smpm.permanentMagnetLossParameters.tauRef,smpm.permanentMagnetLossParameters.wRef,smpm.phiMechanical,smpm.plug_sn.m,smpm.plug_sn.pin[1].i,smpm.plug_sn.pin[1].v,smpm.plug_sn.pin[2].i,smpm.plug_sn.pin[2].v,smpm.plug_sn.pin[3].i,smpm.plug_sn.pin[3].v,smpm.plug_sp.m,smpm.plug_sp.pin[1].i,smpm.plug_sp.pin[1].v,smpm.plug_sp.pin[2].i,smpm.plug_sp.pin[2].v,smpm.plug_sp.pin[3].i,smpm.plug_sp.pin[3].v,smpm.powerBalance.lossPowerFriction,smpm.powerBalance.lossPowerPermanentMagnet,smpm.powerBalance.lossPowerRotorCore,smpm.powerBalance.lossPowerRotorWinding,smpm.powerBalance.lossPowerStatorCore,smpm.powerBalance.lossPowerStatorWinding,smpm.powerBalance.lossPowerStrayLoad,smpm.powerBalance.lossPowerTotal,smpm.powerBalance.powerInertiaRotor,smpm.powerBalance.powerInertiaStator,smpm.powerBalance.powerMechanical,smpm.powerBalance.powerStator,smpm.short.port_n.Phi.im,smpm.short.port_n.Phi.re,smpm.short.port_n.V_m.im,smpm.short.port_n.V_m.re,smpm.short.port_p.Phi.im,smpm.short.port_p.Phi.re,smpm.short.port_p.V_m.im,smpm.short.port_p.V_m.re,smpm.stator.GcRef,smpm.stator.Lsigma,smpm.stator.Lzero,smpm.stator.Phi.im,smpm.stator.Phi.re,smpm.stator.RRef,smpm.stator.TOperational,smpm.stator.TRef,smpm.stator.V_m.im,smpm.stator.V_m.re,smpm.stator.abs_Phi,smpm.stator.abs_V_m,smpm.stator.alpha20,smpm.stator.alphaRef,smpm.stator.arg_Phi,smpm.stator.arg_V_m,smpm.stator.core.G,smpm.stator.core.Phi.im,smpm.stator.core.Phi.re,smpm.stator.core.T,smpm.stator.core.TheatPort,smpm.stator.core.V_m.im,smpm.stator.core.V_m.re,smpm.stator.core.abs_Phi,smpm.stator.core.abs_V_m,smpm.stator.core.arg_Phi,smpm.stator.core.arg_V_m,smpm.stator.core.heatPort.Q_flow,smpm.stator.core.heatPort.T,smpm.stator.core.lossPower,smpm.stator.core.port_n.Phi.im,smpm.stator.core.port_n.Phi.re,smpm.stator.core.port_n.V_m.im,smpm.stator.core.port_n.V_m.re,smpm.stator.core.port_p.Phi.im,smpm.stator.core.port_p.Phi.re,smpm.stator.core.port_p.V_m.im,smpm.stator.core.port_p.V_m.re,smpm.stator.core.useHeatPort,smpm.stator.effectiveTurns,smpm.stator.electroMagneticConverter.Phi.im,smpm.stator.electroMagneticConverter.Phi.re,smpm.stator.electroMagneticConverter.V_m.im,smpm.stator.electroMagneticConverter.V_m.re,smpm.stator.electroMagneticConverter.abs_Phi,smpm.stator.electroMagneticConverter.abs_V_m,smpm.stator.electroMagneticConverter.arg_Phi,smpm.stator.electroMagneticConverter.arg_V_m,smpm.stator.electroMagneticConverter.effectiveTurns[1],smpm.stator.electroMagneticConverter.effectiveTurns[2],smpm.stator.electroMagneticConverter.effectiveTurns[3],smpm.stator.electroMagneticConverter.i[1],smpm.stator.electroMagneticConverter.i[2],smpm.stator.electroMagneticConverter.i[3],smpm.stator.electroMagneticConverter.m,smpm.stator.electroMagneticConverter.orientation[1],smpm.stator.electroMagneticConverter.orientation[2],smpm.stator.electroMagneticConverter.orientation[3],smpm.stator.electroMagneticConverter.plug_n.m,smpm.stator.electroMagneticConverter.plug_n.pin[1].i,smpm.stator.electroMagneticConverter.plug_n.pin[1].v,smpm.stator.electroMagneticConverter.plug_n.pin[2].i,smpm.stator.electroMagneticConverter.plug_n.pin[2].v,smpm.stator.electroMagneticConverter.plug_n.pin[3].i,smpm.stator.electroMagneticConverter.plug_n.pin[3].v,smpm.stator.electroMagneticConverter.plug_p.m,smpm.stator.electroMagneticConverter.plug_p.pin[1].i,smpm.stator.electroMagneticConverter.plug_p.pin[1].v,smpm.stator.electroMagneticConverter.plug_p.pin[2].i,smpm.stator.electroMagneticConverter.plug_p.pin[2].v,smpm.stator.electroMagneticConverter.plug_p.pin[3].i,smpm.stator.electroMagneticConverter.plug_p.pin[3].v,smpm.stator.electroMagneticConverter.port_n.Phi.im,smpm.stator.electroMagneticConverter.port_n.Phi.re,smpm.stator.electroMagneticConverter.port_n.V_m.im,smpm.stator.electroMagneticConverter.port_n.V_m.re,smpm.stator.electroMagneticConverter.port_p.Phi.im,smpm.stator.electroMagneticConverter.port_p.Phi.re,smpm.stator.electroMagneticConverter.port_p.V_m.im,smpm.stator.electroMagneticConverter.port_p.V_m.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].N.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].N.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].Phi.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].Phi.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].V_m.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].V_m.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].abs_Phi,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].abs_V_m,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].arg_Phi,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].arg_V_m,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].effectiveTurns,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].i,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].orientation,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].pin_n.i,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].pin_n.v,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].pin_p.i,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].pin_p.v,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].port_n.Phi.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].port_n.Phi.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].port_n.V_m.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].port_n.V_m.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].port_p.Phi.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].port_p.Phi.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].port_p.V_m.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].port_p.V_m.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[1].v,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].N.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].N.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].Phi.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].Phi.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].V_m.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].V_m.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].abs_Phi,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].abs_V_m,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].arg_Phi,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].arg_V_m,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].effectiveTurns,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].i,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].orientation,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].pin_n.i,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].pin_n.v,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].pin_p.i,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].pin_p.v,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].port_n.Phi.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].port_n.Phi.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].port_n.V_m.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].port_n.V_m.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].port_p.Phi.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].port_p.Phi.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].port_p.V_m.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].port_p.V_m.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[2].v,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].N.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].N.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].Phi.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].Phi.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].V_m.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].V_m.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].abs_Phi,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].abs_V_m,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].arg_Phi,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].arg_V_m,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].effectiveTurns,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].i,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].orientation,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].pin_n.i,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].pin_n.v,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].pin_p.i,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].pin_p.v,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].port_n.Phi.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].port_n.Phi.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].port_n.V_m.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].port_n.V_m.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].port_p.Phi.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].port_p.Phi.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].port_p.V_m.im,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].port_p.V_m.re,smpm.stator.electroMagneticConverter.singlePhaseElectroMagneticConverter[3].v,smpm.stator.electroMagneticConverter.v[1],smpm.stator.electroMagneticConverter.v[2],smpm.stator.electroMagneticConverter.v[3],smpm.stator.heatPortCore.Q_flow,smpm.stator.heatPortCore.T,smpm.stator.heatPortWinding[1].Q_flow,smpm.stator.heatPortWinding[1].T,smpm.stator.heatPortWinding[2].Q_flow,smpm.stator.heatPortWinding[2].T,smpm.stator.heatPortWinding[3].Q_flow,smpm.stator.heatPortWinding[3].T,smpm.stator.i[1],smpm.stator.i[2],smpm.stator.i[3],smpm.stator.m,smpm.stator.mBase,smpm.stator.nBase,smpm.stator.plug_n.m,smpm.stator.plug_n.pin[1].i,smpm.stator.plug_n.pin[1].v,smpm.stator.plug_n.pin[2].i,smpm.stator.plug_n.pin[2].v,smpm.stator.plug_n.pin[3].i,smpm.stator.plug_n.pin[3].v,smpm.stator.plug_p.m,smpm.stator.plug_p.pin[1].i,smpm.stator.plug_p.pin[1].v,smpm.stator.plug_p.pin[2].i,smpm.stator.plug_p.pin[2].v,smpm.stator.plug_p.pin[3].i,smpm.stator.plug_p.pin[3].v,smpm.stator.port_n.Phi.im,smpm.stator.port_n.Phi.re,smpm.stator.port_n.V_m.im,smpm.stator.port_n.V_m.re,smpm.stator.port_p.Phi.im,smpm.stator.port_p.Phi.re,smpm.stator.port_p.V_m.im,smpm.stator.port_p.V_m.re,smpm.stator.resistor.R[1],smpm.stator.resistor.R[2],smpm.stator.resistor.R[3],smpm.stator.resistor.T[1],smpm.stator.resistor.T[2],smpm.stator.resistor.T[3],smpm.stator.resistor.T_ref[1],smpm.stator.resistor.T_ref[2],smpm.stator.resistor.T_ref[3],smpm.stator.resistor.alpha[1],smpm.stator.resistor.alpha[2],smpm.stator.resistor.alpha[3],smpm.stator.resistor.heatPort[1].Q_flow,smpm.stator.resistor.heatPort[1].T,smpm.stator.resistor.heatPort[2].Q_flow,smpm.stator.resistor.heatPort[2].T,smpm.stator.resistor.heatPort[3].Q_flow,smpm.stator.resistor.heatPort[3].T,smpm.stator.resistor.i[1],smpm.stator.resistor.i[2],smpm.stator.resistor.i[3],smpm.stator.resistor.m,smpm.stator.resistor.mh,smpm.stator.resistor.plug_n.m,smpm.stator.resistor.plug_n.pin[1].i,smpm.stator.resistor.plug_n.pin[1].v,smpm.stator.resistor.plug_n.pin[2].i,smpm.stator.resistor.plug_n.pin[2].v,smpm.stator.resistor.plug_n.pin[3].i,smpm.stator.resistor.plug_n.pin[3].v,smpm.stator.resistor.plug_p.m,smpm.stator.resistor.plug_p.pin[1].i,smpm.stator.resistor.plug_p.pin[1].v,smpm.stator.resistor.plug_p.pin[2].i,smpm.stator.resistor.plug_p.pin[2].v,smpm.stator.resistor.plug_p.pin[3].i,smpm.stator.resistor.plug_p.pin[3].v,smpm.stator.resistor.resistor[1].LossPower,smpm.stator.resistor.resistor[1].R,smpm.stator.resistor.resistor[1].R_actual,smpm.stator.resistor.resistor[1].T,smpm.stator.resistor.resistor[1].T_heatPort,smpm.stator.resistor.resistor[1].T_ref,smpm.stator.resistor.resistor[1].alpha,smpm.stator.resistor.resistor[1].heatPort.Q_flow,smpm.stator.resistor.resistor[1].heatPort.T,smpm.stator.resistor.resistor[1].i,smpm.stator.resistor.resistor[1].n.i,smpm.stator.resistor.resistor[1].n.v,smpm.stator.resistor.resistor[1].p.i,smpm.stator.resistor.resistor[1].p.v,smpm.stator.resistor.resistor[1].useHeatPort,smpm.stator.resistor.resistor[1].v,smpm.stator.resistor.resistor[2].LossPower,smpm.stator.resistor.resistor[2].R,smpm.stator.resistor.resistor[2].R_actual,smpm.stator.resistor.resistor[2].T,smpm.stator.resistor.resistor[2].T_heatPort,smpm.stator.resistor.resistor[2].T_ref,smpm.stator.resistor.resistor[2].alpha,smpm.stator.resistor.resistor[2].heatPort.Q_flow,smpm.stator.resistor.resistor[2].heatPort.T,smpm.stator.resistor.resistor[2].i,smpm.stator.resistor.resistor[2].n.i,smpm.stator.resistor.resistor[2].n.v,smpm.stator.resistor.resistor[2].p.i,smpm.stator.resistor.resistor[2].p.v,smpm.stator.resistor.resistor[2].useHeatPort,smpm.stator.resistor.resistor[2].v,smpm.stator.resistor.resistor[3].LossPower,smpm.stator.resistor.resistor[3].R,smpm.stator.resistor.resistor[3].R_actual,smpm.stator.resistor.resistor[3].T,smpm.stator.resistor.resistor[3].T_heatPort,smpm.stator.resistor.resistor[3].T_ref,smpm.stator.resistor.resistor[3].alpha,smpm.stator.resistor.resistor[3].heatPort.Q_flow,smpm.stator.resistor.resistor[3].heatPort.T,smpm.stator.resistor.resistor[3].i,smpm.stator.resistor.resistor[3].n.i,smpm.stator.resistor.resistor[3].n.v,smpm.stator.resistor.resistor[3].p.i,smpm.stator.resistor.resistor[3].p.v,smpm.stator.resistor.resistor[3].useHeatPort,smpm.stator.resistor.resistor[3].v,smpm.stator.resistor.useHeatPort,smpm.stator.resistor.v[1],smpm.stator.resistor.v[2],smpm.stator.resistor.v[3],smpm.stator.stray.G_m.d,smpm.stator.stray.G_m.q,smpm.stator.stray.Phi.im,smpm.stator.stray.Phi.re,smpm.stator.stray.V_m.im,smpm.stator.stray.V_m.re,smpm.stator.stray.abs_Phi,smpm.stator.stray.abs_V_m,smpm.stator.stray.arg_Phi,smpm.stator.stray.arg_V_m,smpm.stator.stray.port_n.Phi.im,smpm.stator.stray.port_n.Phi.re,smpm.stator.stray.port_n.V_m.im,smpm.stator.stray.port_n.V_m.re,smpm.stator.stray.port_p.Phi.im,smpm.stator.stray.port_p.Phi.re,smpm.stator.stray.port_p.V_m.im,smpm.stator.stray.port_p.V_m.re,smpm.stator.useHeatPort,smpm.stator.v[1],smpm.stator.v[2],smpm.stator.v[3],smpm.stator.zeroInductor.Lzero,smpm.stator.zeroInductor.i0,smpm.stator.zeroInductor.i[1],smpm.stator.zeroInductor.i[2],smpm.stator.zeroInductor.i[3],smpm.stator.zeroInductor.m,smpm.stator.zeroInductor.plug_n.m,smpm.stator.zeroInductor.plug_n.pin[1].i,smpm.stator.zeroInductor.plug_n.pin[1].v,smpm.stator.zeroInductor.plug_n.pin[2].i,smpm.stator.zeroInductor.plug_n.pin[2].v,smpm.stator.zeroInductor.plug_n.pin[3].i,smpm.stator.zeroInductor.plug_n.pin[3].v,smpm.stator.zeroInductor.plug_p.m,smpm.stator.zeroInductor.plug_p.pin[1].i,smpm.stator.zeroInductor.plug_p.pin[1].v,smpm.stator.zeroInductor.plug_p.pin[2].i,smpm.stator.zeroInductor.plug_p.pin[2].v,smpm.stator.zeroInductor.plug_p.pin[3].i,smpm.stator.zeroInductor.plug_p.pin[3].v,smpm.stator.zeroInductor.v0,smpm.stator.zeroInductor.v[1],smpm.stator.zeroInductor.v[2],smpm.stator.zeroInductor.v[3],smpm.statorCoreParameters.GcRef,smpm.statorCoreParameters.PRef,smpm.statorCoreParameters.VRef,smpm.statorCoreParameters.m,smpm.statorCoreParameters.ratioHysteresis,smpm.statorCoreParameters.wMin,smpm.statorCoreParameters.wRef,smpm.strayLoad.flange.phi,smpm.strayLoad.flange.tau,smpm.strayLoad.heatPort.Q_flow,smpm.strayLoad.heatPort.T,smpm.strayLoad.iRMS,smpm.strayLoad.i[1],smpm.strayLoad.i[2],smpm.strayLoad.i[3],smpm.strayLoad.lossPower,smpm.strayLoad.m,smpm.strayLoad.phi,smpm.strayLoad.plug_n.m,smpm.strayLoad.plug_n.pin[1].i,smpm.strayLoad.plug_n.pin[1].v,smpm.strayLoad.plug_n.pin[2].i,smpm.strayLoad.plug_n.pin[2].v,smpm.strayLoad.plug_n.pin[3].i,smpm.strayLoad.plug_n.pin[3].v,smpm.strayLoad.plug_p.m,smpm.strayLoad.plug_p.pin[1].i,smpm.strayLoad.plug_p.pin[1].v,smpm.strayLoad.plug_p.pin[2].i,smpm.strayLoad.plug_p.pin[2].v,smpm.strayLoad.plug_p.pin[3].i,smpm.strayLoad.plug_p.pin[3].v,smpm.strayLoad.strayLoadParameters.IRef,smpm.strayLoad.strayLoadParameters.PRef,smpm.strayLoad.strayLoadParameters.power_w,smpm.strayLoad.strayLoadParameters.tauRef,smpm.strayLoad.strayLoadParameters.wRef,smpm.strayLoad.support.phi,smpm.strayLoad.support.tau,smpm.strayLoad.tau,smpm.strayLoad.useHeatPort,smpm.strayLoad.v[1],smpm.strayLoad.v[2],smpm.strayLoad.v[3],smpm.strayLoad.w,smpm.strayLoadParameters.IRef,smpm.strayLoadParameters.PRef,smpm.strayLoadParameters.power_w,smpm.strayLoadParameters.tauRef,smpm.strayLoadParameters.wRef,smpm.tauElectrical,smpm.tauShaft,smpm.thermalAmbient.Q_flowFriction,smpm.thermalAmbient.Q_flowPermanentMagnet,smpm.thermalAmbient.Q_flowRotorCore,smpm.thermalAmbient.Q_flowRotorWinding,smpm.thermalAmbient.Q_flowStatorCore,smpm.thermalAmbient.Q_flowStatorWinding,smpm.thermalAmbient.Q_flowStrayLoad,smpm.thermalAmbient.Q_flowTotal,smpm.thermalAmbient.Tpm,smpm.thermalAmbient.Tr,smpm.thermalAmbient.Ts,smpm.thermalAmbient.constTpm.k,smpm.thermalAmbient.constTpm.y,smpm.thermalAmbient.constTr.k,smpm.thermalAmbient.constTr.y,smpm.thermalAmbient.constTs.k,smpm.thermalAmbient.constTs.y,smpm.thermalAmbient.m,smpm.thermalAmbient.temperatureFriction.T,smpm.thermalAmbient.temperatureFriction.port.Q_flow,smpm.thermalAmbient.temperatureFriction.port.T,smpm.thermalAmbient.temperaturePermanentMagnet.T,smpm.thermalAmbient.temperaturePermanentMagnet.port.Q_flow,smpm.thermalAmbient.temperaturePermanentMagnet.port.T,smpm.thermalAmbient.temperatureRotorCore.T,smpm.thermalAmbient.temperatureRotorCore.port.Q_flow,smpm.thermalAmbient.temperatureRotorCore.port.T,smpm.thermalAmbient.temperatureRotorWinding.T,smpm.thermalAmbient.temperatureRotorWinding.port.Q_flow,smpm.thermalAmbient.temperatureRotorWinding.port.T,smpm.thermalAmbient.temperatureStatorCore.T,smpm.thermalAmbient.temperatureStatorCore.port.Q_flow,smpm.thermalAmbient.temperatureStatorCore.port.T,smpm.thermalAmbient.temperatureStatorWinding.T,smpm.thermalAmbient.temperatureStatorWinding.port.Q_flow,smpm.thermalAmbient.temperatureStatorWinding.port.T,smpm.thermalAmbient.temperatureStrayLoad.T,smpm.thermalAmbient.temperatureStrayLoad.port.Q_flow,smpm.thermalAmbient.temperatureStrayLoad.port.T,smpm.thermalAmbient.thermalCollectorStator.m,smpm.thermalAmbient.thermalCollectorStator.port_a[1].Q_flow,smpm.thermalAmbient.thermalCollectorStator.port_a[1].T,smpm.thermalAmbient.thermalCollectorStator.port_a[2].Q_flow,smpm.thermalAmbient.thermalCollectorStator.port_a[2].T,smpm.thermalAmbient.thermalCollectorStator.port_a[3].Q_flow,smpm.thermalAmbient.thermalCollectorStator.port_a[3].T,smpm.thermalAmbient.thermalCollectorStator.port_b.Q_flow,smpm.thermalAmbient.thermalCollectorStator.port_b.T,smpm.thermalAmbient.thermalPort.heatPortFriction.Q_flow,smpm.thermalAmbient.thermalPort.heatPortFriction.T,smpm.thermalAmbient.thermalPort.heatPortPermanentMagnet.Q_flow,smpm.thermalAmbient.thermalPort.heatPortPermanentMagnet.T,smpm.thermalAmbient.thermalPort.heatPortRotorCore.Q_flow,smpm.thermalAmbient.thermalPort.heatPortRotorCore.T,smpm.thermalAmbient.thermalPort.heatPortStatorCore.Q_flow,smpm.thermalAmbient.thermalPort.heatPortStatorCore.T,smpm.thermalAmbient.thermalPort.heatPortStatorWinding[1].Q_flow,smpm.thermalAmbient.thermalPort.heatPortStatorWinding[1].T,smpm.thermalAmbient.thermalPort.heatPortStatorWinding[2].Q_flow,smpm.thermalAmbient.thermalPort.heatPortStatorWinding[2].T,smpm.thermalAmbient.thermalPort.heatPortStatorWinding[3].Q_flow,smpm.thermalAmbient.thermalPort.heatPortStatorWinding[3].T,smpm.thermalAmbient.thermalPort.heatPortStrayLoad.Q_flow,smpm.thermalAmbient.thermalPort.heatPortStrayLoad.T,smpm.thermalAmbient.thermalPort.m,smpm.thermalAmbient.thermalPort.useDamperCage,smpm.thermalAmbient.useDamperCage,smpm.thermalAmbient.useTemperatureInputs,smpm.useDamperCage,smpm.useSupport,smpm.useThermalPort,smpm.vs[1],smpm.vs[2],smpm.vs[3],smpm.wMechanical,smpmData.Jr,smpmData.Js,smpmData.Lmd,smpmData.Lmq,smpmData.Lrsigmad,smpmData.Lrsigmaq,smpmData.Lssigma,smpmData.Lszero,smpmData.Rrd,smpmData.Rrq,smpmData.Rs,smpmData.TrRef,smpmData.TsRef,smpmData.VsOpenCircuit,smpmData.alpha20r,smpmData.alpha20s,smpmData.effectiveStatorTurns,smpmData.frictionParameters.PRef,smpmData.frictionParameters.linear,smpmData.frictionParameters.power_w,smpmData.frictionParameters.tauLinear,smpmData.frictionParameters.tauRef,smpmData.frictionParameters.wLinear,smpmData.frictionParameters.wRef,smpmData.fsNominal,smpmData.m,smpmData.p,smpmData.permanentMagnetLossParameters.IRef,smpmData.permanentMagnetLossParameters.PRef,smpmData.permanentMagnetLossParameters.c,smpmData.permanentMagnetLossParameters.power_I,smpmData.permanentMagnetLossParameters.power_w,smpmData.permanentMagnetLossParameters.tauRef,smpmData.permanentMagnetLossParameters.wRef,smpmData.statorCoreParameters.GcRef,smpmData.statorCoreParameters.PRef,smpmData.statorCoreParameters.VRef,smpmData.statorCoreParameters.m,smpmData.statorCoreParameters.ratioHysteresis,smpmData.statorCoreParameters.wMin,smpmData.statorCoreParameters.wRef,smpmData.strayLoadParameters.IRef,smpmData.strayLoadParameters.PRef,smpmData.strayLoadParameters.power_w,smpmData.strayLoadParameters.tauRef,smpmData.strayLoadParameters.wRef,smpmData.useDamperCage,speedSensor.flange.phi,speedSensor.flange.tau,speedSensor.w,starM.m,starM.pin_n.i,starM.pin_n.v,starM.plug_p.m,starM.plug_p.pin[1].i,starM.plug_p.pin[1].v,starM.plug_p.pin[2].i,starM.plug_p.pin[2].v,starM.plug_p.pin[3].i,starM.plug_p.pin[3].v,terminalBox.m,terminalBox.plugSupply.m,terminalBox.plugSupply.pin[1].i,terminalBox.plugSupply.pin[1].v,terminalBox.plugSupply.pin[2].i,terminalBox.plugSupply.pin[2].v,terminalBox.plugSupply.pin[3].i,terminalBox.plugSupply.pin[3].v,terminalBox.plug_sn.m,terminalBox.plug_sn.pin[1].i,terminalBox.plug_sn.pin[1].v,terminalBox.plug_sn.pin[2].i,terminalBox.plug_sn.pin[2].v,terminalBox.plug_sn.pin[3].i,terminalBox.plug_sn.pin[3].v,terminalBox.plug_sp.m,terminalBox.plug_sp.pin[1].i,terminalBox.plug_sp.pin[1].v,terminalBox.plug_sp.pin[2].i,terminalBox.plug_sp.pin[2].v,terminalBox.plug_sp.pin[3].i,terminalBox.plug_sp.pin[3].v,terminalBox.star.m,terminalBox.star.pin_n.i,terminalBox.star.pin_n.v,terminalBox.star.plug_p.m,terminalBox.star.plug_p.pin[1].i,terminalBox.star.plug_p.pin[1].v,terminalBox.star.plug_p.pin[2].i,terminalBox.star.plug_p.pin[2].v,terminalBox.star.plug_p.pin[3].i,terminalBox.star.plug_p.pin[3].v,terminalBox.starpoint.i,terminalBox.starpoint.v,time,variableResistor.LossPower,variableResistor.R,variableResistor.R_actual,variableResistor.T,variableResistor.T_heatPort,variableResistor.T_ref,variableResistor.alpha,variableResistor.i,variableResistor.n.i,variableResistor.n.v,variableResistor.p.i,variableResistor.p.v,variableResistor.useHeatPort,variableResistor.v,voltageQuasiRMSSensor.Gain1.k,voltageQuasiRMSSensor.Gain1.u,voltageQuasiRMSSensor.Gain1.y,voltageQuasiRMSSensor.ToPolar1.n,voltageQuasiRMSSensor.ToPolar1.u[1],voltageQuasiRMSSensor.ToPolar1.u[2],voltageQuasiRMSSensor.ToPolar1.y[1],voltageQuasiRMSSensor.ToPolar1.y[2],voltageQuasiRMSSensor.ToSpacePhasor1.m,voltageQuasiRMSSensor.ToSpacePhasor1.nin,voltageQuasiRMSSensor.ToSpacePhasor1.nout,voltageQuasiRMSSensor.ToSpacePhasor1.u[1],voltageQuasiRMSSensor.ToSpacePhasor1.u[2],voltageQuasiRMSSensor.ToSpacePhasor1.u[3],voltageQuasiRMSSensor.ToSpacePhasor1.y[1],voltageQuasiRMSSensor.ToSpacePhasor1.y[2],voltageQuasiRMSSensor.ToSpacePhasor1.zero,voltageQuasiRMSSensor.V,voltageQuasiRMSSensor.VoltageSensor1.m,voltageQuasiRMSSensor.VoltageSensor1.plug_n.m,voltageQuasiRMSSensor.VoltageSensor1.plug_n.pin[1].i,voltageQuasiRMSSensor.VoltageSensor1.plug_n.pin[1].v,voltageQuasiRMSSensor.VoltageSensor1.plug_n.pin[2].i,voltageQuasiRMSSensor.VoltageSensor1.plug_n.pin[2].v,voltageQuasiRMSSensor.VoltageSensor1.plug_n.pin[3].i,voltageQuasiRMSSensor.VoltageSensor1.plug_n.pin[3].v,voltageQuasiRMSSensor.VoltageSensor1.plug_p.m,voltageQuasiRMSSensor.VoltageSensor1.plug_p.pin[1].i,voltageQuasiRMSSensor.VoltageSensor1.plug_p.pin[1].v,voltageQuasiRMSSensor.VoltageSensor1.plug_p.pin[2].i,voltageQuasiRMSSensor.VoltageSensor1.plug_p.pin[2].v,voltageQuasiRMSSensor.VoltageSensor1.plug_p.pin[3].i,voltageQuasiRMSSensor.VoltageSensor1.plug_p.pin[3].v,voltageQuasiRMSSensor.VoltageSensor1.v[1],voltageQuasiRMSSensor.VoltageSensor1.v[2],voltageQuasiRMSSensor.VoltageSensor1.v[3],voltageQuasiRMSSensor.VoltageSensor1.voltageSensor[1].n.i,voltageQuasiRMSSensor.VoltageSensor1.voltageSensor[1].n.v,voltageQuasiRMSSensor.VoltageSensor1.voltageSensor[1].p.i,voltageQuasiRMSSensor.VoltageSensor1.voltageSensor[1].p.v,voltageQuasiRMSSensor.VoltageSensor1.voltageSensor[1].v,voltageQuasiRMSSensor.VoltageSensor1.voltageSensor[2].n.i,voltageQuasiRMSSensor.VoltageSensor1.voltageSensor[2].n.v,voltageQuasiRMSSensor.VoltageSensor1.voltageSensor[2].p.i,voltageQuasiRMSSensor.VoltageSensor1.voltageSensor[2].p.v,voltageQuasiRMSSensor.VoltageSensor1.voltageSensor[2].v,voltageQuasiRMSSensor.VoltageSensor1.voltageSensor[3].n.i,voltageQuasiRMSSensor.VoltageSensor1.voltageSensor[3].n.v,voltageQuasiRMSSensor.VoltageSensor1.voltageSensor[3].p.i,voltageQuasiRMSSensor.VoltageSensor1.voltageSensor[3].p.v,voltageQuasiRMSSensor.VoltageSensor1.voltageSensor[3].v,voltageQuasiRMSSensor.plug_n.m,voltageQuasiRMSSensor.plug_n.pin[1].i,voltageQuasiRMSSensor.plug_n.pin[1].v,voltageQuasiRMSSensor.plug_n.pin[2].i,voltageQuasiRMSSensor.plug_n.pin[2].v,voltageQuasiRMSSensor.plug_n.pin[3].i,voltageQuasiRMSSensor.plug_n.pin[3].v,voltageQuasiRMSSensor.plug_p.m,voltageQuasiRMSSensor.plug_p.pin[1].i,voltageQuasiRMSSensor.plug_p.pin[1].v,voltageQuasiRMSSensor.plug_p.pin[2].i,voltageQuasiRMSSensor.plug_p.pin[2].v,voltageQuasiRMSSensor.plug_p.pin[3].i,voltageQuasiRMSSensor.plug_p.pin[3].v,wNominal