Running: ./testmodel.py --libraries=/home/hudson/saved_omc/libraries/.openmodelica/libraries/ --ompython_omhome=/usr Modelica_StateGraph2_Modelica_StateGraph2.Examples.Applications.HarelsWristWatch.WristWatch.conf.json loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/ModelicaServices 4.0.0+maint.om/package.mo", uses=false) loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/Complex 4.0.0+maint.om/package.mo", uses=false) loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica 4.0.0+maint.om/package.mo", uses=false) loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo", uses=false) Using package Modelica_StateGraph2 with version 2.1.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo) Using package Modelica with version 4.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica 4.0.0+maint.om/package.mo) Using package Complex with version 4.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/Complex 4.0.0+maint.om/package.mo) Using package ModelicaServices with version 4.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/ModelicaServices 4.0.0+maint.om/package.mo) Running command: translateModel(Modelica_StateGraph2.Examples.Applications.HarelsWristWatch.WristWatch,tolerance=1e-06,outputFormat="empty",numberOfIntervals=40000,variableFilter="",fileNamePrefix="Modelica_StateGraph2_Modelica_StateGraph2.Examples.Applications.HarelsWristWatch.WristWatch") translateModel(Modelica_StateGraph2.Examples.Applications.HarelsWristWatch.WristWatch,tolerance=1e-06,outputFormat="empty",numberOfIntervals=40000,variableFilter="",fileNamePrefix="Modelica_StateGraph2_Modelica_StateGraph2.Examples.Applications.HarelsWristWatch.WristWatch") Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/ModelicaServices 4.0.0+maint.om/package.mo): time 0.001145/0.001145, allocations: 111.3 kB / 15.23 MB, free: 0.6406 MB / 14.72 MB Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/Complex 4.0.0+maint.om/package.mo): time 0.001654/0.001654, allocations: 197.7 kB / 16.14 MB, free: 6.711 MB / 14.72 MB Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica 4.0.0+maint.om/package.mo): time 1.548/1.548, allocations: 222.9 MB / 239.8 MB, free: 4.625 MB / 190.1 MB Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo): time 0.3034/0.3034, allocations: 13.53 MB / 303.5 MB, free: 0.6641 MB / 238.1 MB Notification: Performance of FrontEnd - loaded program: time 0.001502/0.001502, allocations: 55.91 kB / 367 MB, free: 7.09 MB / 302.1 MB Notification: Performance of FrontEnd - Absyn->SCode: time 0.1487/0.1502, allocations: 52.69 MB / 419.7 MB, free: 2.355 MB / 350.1 MB Notification: Performance of NFInst.instantiate(Modelica_StateGraph2.Examples.Applications.HarelsWristWatch.WristWatch): time 0.3693/0.5196, allocations: 19.26 MB / 438.9 MB, free: 43.53 MB / 366.1 MB Notification: Performance of NFInst.instExpressions: time 0.03867/0.5583, allocations: 13.44 MB / 452.4 MB, free: 39.71 MB / 366.1 MB Notification: Performance of NFInst.updateImplicitVariability: time 0.01461/0.573, allocations: 391.2 kB / 452.8 MB, free: 39.71 MB / 366.1 MB Notification: Performance of NFTyping.typeComponents: time 0.034/0.6071, allocations: 16.99 MB / 469.7 MB, free: 32.37 MB / 366.1 MB Notification: Performance of NFTyping.typeBindings: time 0.01243/0.6196, allocations: 0.7319 MB / 470.5 MB, free: 31.85 MB / 366.1 MB [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: The first argument 'main.local_entry.node' of Connections.uniqueRoot must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRootIndices. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The first argument 'main.local_entry.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The second argument 'main.local_exit.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15046:9-15046:83:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:12183:5-12189:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: The first argument 'alarms_beep.local_entry.node' of Connections.uniqueRoot must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRootIndices. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The first argument 'alarms_beep.local_entry.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The second argument 'alarms_beep.local_exit.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15046:9-15046:83:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:12183:5-12189:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: The first argument 'chime_enabled.local_entry.node' of Connections.uniqueRoot must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRootIndices. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The first argument 'chime_enabled.local_entry.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The second argument 'chime_enabled.local_exit.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15046:9-15046:83:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:12183:5-12189:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: The first argument 'displays.local_entry.node' of Connections.uniqueRoot must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRootIndices. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The first argument 'displays.local_entry.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The second argument 'displays.local_exit.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15046:9-15046:83:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: The first argument 'regular.local_entry.node' of Connections.uniqueRoot must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRootIndices. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The first argument 'regular.local_entry.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The second argument 'regular.local_exit.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15046:9-15046:83:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:12183:5-12189:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: The first argument 'regular.update.local_entry.node' of Connections.uniqueRoot must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRootIndices. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The first argument 'regular.update.local_entry.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The second argument 'regular.update.local_exit.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15046:9-15046:83:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:12183:5-12189:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: The first argument 'out.local_entry.node' of Connections.uniqueRoot must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRootIndices. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The first argument 'out.local_entry.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The second argument 'out.local_exit.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15046:9-15046:83:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: The first argument 'out.chime.local_entry.node' of Connections.uniqueRoot must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRootIndices. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The first argument 'out.chime.local_entry.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The second argument 'out.chime.local_exit.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15046:9-15046:83:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:12183:5-12189:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: The first argument 'out.alarm1.local_entry.node' of Connections.uniqueRoot must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRootIndices. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The first argument 'out.alarm1.local_entry.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The second argument 'out.alarm1.local_exit.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15046:9-15046:83:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:12183:5-12189:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: The first argument 'out.update1.local_entry.node' of Connections.uniqueRoot must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRootIndices. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The first argument 'out.update1.local_entry.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The second argument 'out.update1.local_exit.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15046:9-15046:83:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:12183:5-12189:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: The first argument 'out.alarm2.local_entry.node' of Connections.uniqueRoot must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRootIndices. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The first argument 'out.alarm2.local_entry.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The second argument 'out.alarm2.local_exit.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15046:9-15046:83:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:12183:5-12189:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: The first argument 'out.update2.local_entry.node' of Connections.uniqueRoot must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRootIndices. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The first argument 'out.update2.local_entry.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The second argument 'out.update2.local_exit.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15046:9-15046:83:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:12183:5-12189:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: The first argument 'stopwatch.local_entry.node' of Connections.uniqueRoot must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRootIndices. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The first argument 'stopwatch.local_entry.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The second argument 'stopwatch.local_exit.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15046:9-15046:83:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15020:7-15026:3:writable] Warning: The first argument 'stopwatch.displayrun.local_entry.node' of Connections.uniqueRoot must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRootIndices. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The first argument 'stopwatch.displayrun.local_entry.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15028:7-15035:4:writable] Warning: The second argument 'stopwatch.displayrun.local_exit.node' of Connections.uniqueRootIndices must have the form A.R, where A is a connector and R an over-determined type/record. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:15046:9-15046:83:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:12183:5-12189:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. Notification: Performance of NFTyping.typeClassSections: time 0.02813/0.6478, allocations: 6.858 MB / 477.3 MB, free: 27.61 MB / 366.1 MB Notification: Performance of NFFlatten.flatten: time 0.03662/0.6845, allocations: 14.6 MB / 491.9 MB, free: 20.61 MB / 366.1 MB Notification: Performance of NFFlatten.resolveConnections: time 0.06358/0.7481, allocations: 24.73 MB / 0.5046 GB, free: 2.871 MB / 366.1 MB Notification: Performance of NFEvalConstants.evaluate: time 0.01698/0.7652, allocations: 5.867 MB / 0.5103 GB, free: 12.98 MB / 382.1 MB Notification: Performance of NFSimplifyModel.simplify: time 0.01307/0.7783, allocations: 6.518 MB / 0.5167 GB, free: 6.43 MB / 382.1 MB Notification: Performance of NFPackage.collectConstants: time 0.008344/0.7867, allocations: 1.141 MB / 0.5178 GB, free: 5.285 MB / 382.1 MB Notification: Performance of NFFlatten.collectFunctions: time 0.006473/0.7932, allocations: 1.164 MB / 0.5189 GB, free: 4.121 MB / 382.1 MB Notification: Performance of NFScalarize.scalarize: time 0.008046/0.8013, allocations: 3.855 MB / 0.5227 GB, free: 260 kB / 382.1 MB Notification: Performance of NFVerifyModel.verify: time 0.02026/0.8216, allocations: 6.98 MB / 0.5295 GB, free: 9.23 MB / 398.1 MB Notification: Performance of NFConvertDAE.convert: time 0.03166/0.8533, allocations: 18.76 MB / 0.5478 GB, free: 6.418 MB / 414.1 MB Notification: Performance of FrontEnd - DAE generated: time 1.032e-05/0.8534, allocations: 0 / 0.5478 GB, free: 6.418 MB / 414.1 MB Notification: Performance of FrontEnd: time 2.214e-06/0.8534, allocations: 3.938 kB / 0.5478 GB, free: 6.414 MB / 414.1 MB Notification: Performance of Transformations before backend: time 0.001172/0.8545, allocations: 0 / 0.5478 GB, free: 6.414 MB / 414.1 MB Notification: Model statistics after passing the front-end and creating the data structures used by the back-end: * Number of equations: 4537 * Number of variables: 4537 Notification: Performance of Generate backend data structure: time 0.05014/0.9047, allocations: 16.79 MB / 0.5642 GB, free: 5.312 MB / 430.1 MB Notification: Performance of prepare preOptimizeDAE: time 6.855e-05/0.9048, allocations: 8.031 kB / 0.5642 GB, free: 5.305 MB / 430.1 MB Notification: Performance of preOpt normalInlineFunction (simulation): time 0.007654/0.9124, allocations: 1.703 MB / 0.5659 GB, free: 3.582 MB / 430.1 MB Notification: Performance of preOpt evaluateParameters (simulation): time 0.01959/0.9321, allocations: 6.85 MB / 0.5726 GB, free: 12.66 MB / 446.1 MB Notification: Performance of preOpt simplifyIfEquations (simulation): time 0.002167/0.9343, allocations: 1.618 MB / 0.5741 GB, free: 10.99 MB / 446.1 MB Notification: Performance of preOpt expandDerOperator (simulation): time 0.007169/0.9415, allocations: 1.73 MB / 0.5758 GB, free: 9.258 MB / 446.1 MB Notification: Performance of preOpt clockPartitioning (simulation): time 0.06891/1.01, allocations: 19.86 MB / 0.5952 GB, free: 4.516 MB / 462.1 MB Notification: Performance of preOpt findStateOrder (simulation): time 0.00105/1.012, allocations: 43.62 kB / 0.5953 GB, free: 4.473 MB / 462.1 MB Notification: Performance of preOpt replaceEdgeChange (simulation): time 0.00707/1.019, allocations: 0.7382 MB / 0.596 GB, free: 3.734 MB / 462.1 MB Notification: Performance of preOpt inlineArrayEqn (simulation): time 0.0009824/1.02, allocations: 0.6483 MB / 0.5966 GB, free: 3.086 MB / 462.1 MB Notification: Performance of preOpt removeEqualRHS (simulation): time 0.5899/1.61, allocations: 14.38 MB / 0.6107 GB, free: 121.5 MB / 462.1 MB [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:14981:9-14981:76:writable] Error: Found equation without time-dependent variables: main.entry_restart[1] = false Notification: Performance of preOpt removeSimpleEquations (simulation): time 0.1555/1.765, allocations: 63.52 MB / 0.6727 GB, free: 76.32 MB / 462.1 MB Notification: Performance of preOpt comSubExp (simulation): time 0.1097/1.875, allocations: 40.88 MB / 0.7126 GB, free: 45.73 MB / 462.1 MB Notification: Performance of preOpt resolveLoops (simulation): time 0.0446/1.919, allocations: 20.26 MB / 0.7324 GB, free: 25.42 MB / 462.1 MB [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:12866:3-12866:34:writable] Error: Found equation without time-dependent variables: out.local_outPort[1].checkOneDelayedTransitionPerLoop = out.local_outPort[1].checkOneDelayedTransitionPerLoop [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:12867:3-12867:32:writable] Error: Found equation without time-dependent variables: out.local_outPort[1].checkOneDelayedTransitionPerLoop = out.local_outPort[1].checkOneDelayedTransitionPerLoop [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:8716:9-8719:35:writable] Error: Found equation without time-dependent variables: out.local_outPort[1].checkOneDelayedTransitionPerLoop = out.local_outPort[1].checkOneDelayedTransitionPerLoop [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:8721:9-8724:35:writable] Error: Found equation without time-dependent variables: out.local_outPort[1].checkOneDelayedTransitionPerLoop = out.local_outPort[1].checkOneDelayedTransitionPerLoop [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:10502:11-10507:30:writable] Error: Found equation without time-dependent variables: out.alarm2_on.outPort[1].checkOneDelayedTransitionPerLoop = out.alarm2.checkOneDelayedTransitionPerLoopIn [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:12132:3-12134:72:writable] Error: Found equation without time-dependent variables: out.local_outPort[1].checkOneDelayedTransitionPerLoop = out.local_outPort[1].checkOneDelayedTransitionPerLoop [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:7360:9-7364:35:writable] Error: Found equation without time-dependent variables: top_level_bus_node1.alarm1_off = true Notification: Performance of preOpt evalFunc (simulation): time 0.07804/1.998, allocations: 32.4 MB / 0.764 GB, free: 8.5 MB / 478.1 MB Error: An independent subset of the model has imbalanced number of equations (3651) and variables (3630). variables: or2.y or2.u[2] or2.u[1] top_level_bus_node1.d top_level_bus_node1.c top_level_bus_node1.b top_level_bus_node1.a showValue3.active2 showValue3.activePort watchController.text_digit watchController.small_digit watchController.large_digit4 watchController.large_digit3 watchController.large_digit2 watchController.large_digit1 watchController.display_node.d watchController.display_node.c watchController.display_node.b watchController.display_node.a watchController.date_mode.y watchController.date_mode.u[2] watchController.date_mode.u[1] watchController.time_mode.y watchController.time_mode.u[5] watchController.time_mode.u[4] watchController.time_mode.u[3] watchController.time_mode.u[2] watchController.time_mode.u[1] watchController.displayController.booleanExpression.y watchController.displayController.mode_signal watchController.displayController.stopwatch_signal watchController.displayController.year_signal watchController.displayController.date_signal watchController.displayController.day_signal watchController.displayController.not1.y watchController.displayController.not1.u watchController.displayController.and2.y watchController.displayController.and2.u2 watchController.displayController.and2.u1 watchController.displayController.and1.y watchController.displayController.and1.u2 watchController.displayController.and1.u1 watchController.displayController.date_mode watchController.displayController.time_mode watchController.displayController.small_digit_decoder.firstActiveIndex watchController.displayController.small_digit_decoder.y watchController.displayController.small_digit_decoder.u[5] watchController.displayController.small_digit_decoder.u[4] watchController.displayController.small_digit_decoder.u[3] watchController.displayController.small_digit_decoder.u[2] watchController.displayController.small_digit_decoder.u[1] watchController.displayController.small_digit_decoder.expr[5] watchController.displayController.small_digit_decoder.expr[4] watchController.displayController.small_digit_decoder.expr[3] watchController.displayController.small_digit_decoder.expr[2] watchController.displayController.small_digit_decoder.expr[1] watchController.displayController.text_digit_decoder.firstActiveIndex watchController.displayController.text_digit_decoder.y watchController.displayController.text_digit_decoder.u[5] watchController.displayController.text_digit_decoder.u[4] watchController.displayController.text_digit_decoder.u[3] watchController.displayController.text_digit_decoder.u[2] watchController.displayController.text_digit_decoder.u[1] watchController.displayController.text_digit_decoder.expr[5] watchController.displayController.text_digit_decoder.expr[4] watchController.displayController.text_digit_decoder.expr[3] watchController.displayController.text_digit_decoder.expr[2] watchController.displayController.text_digit_decoder.expr[1] watchController.displayController.digit4_decoder.firstActiveIndex watchController.displayController.digit4_decoder.y watchController.displayController.digit4_decoder.u[5] watchController.displayController.digit4_decoder.u[4] watchController.displayController.digit4_decoder.u[3] watchController.displayController.digit4_decoder.u[2] watchController.displayController.digit4_decoder.u[1] watchController.displayController.digit4_decoder.expr[5] watchController.displayController.digit4_decoder.expr[4] watchController.displayController.digit4_decoder.expr[3] watchController.displayController.digit4_decoder.expr[2] watchController.displayController.digit4_decoder.expr[1] watchController.displayController.digit3_decoder.firstActiveIndex watchController.displayController.digit3_decoder.y watchController.displayController.digit3_decoder.u[5] watchController.displayController.digit3_decoder.u[4] watchController.displayController.digit3_decoder.u[3] watchController.displayController.digit3_decoder.u[2] watchController.displayController.digit3_decoder.u[1] watchController.displayController.digit3_decoder.expr[5] watchController.displayController.digit3_decoder.expr[4] watchController.displayController.digit3_decoder.expr[3] watchController.displayController.digit3_decoder.expr[2] watchController.displayController.digit3_decoder.expr[1] watchController.displayController.digit2_decoder.firstActiveIndex watchController.displayController.digit2_decoder.y watchController.displayController.digit2_decoder.u[5] watchController.displayController.digit2_decoder.u[4] watchController.displayController.digit2_decoder.u[3] watchController.displayController.digit2_decoder.u[2] watchController.displayController.digit2_decoder.u[1] watchController.displayController.digit2_decoder.expr[5] watchController.displayController.digit2_decoder.expr[4] watchController.displayController.digit2_decoder.expr[3] watchController.displayController.digit2_decoder.expr[2] watchController.displayController.digit2_decoder.expr[1] watchController.displayController.digit1_decoder.firstActiveIndex watchController.displayController.digit1_decoder.y watchController.displayController.digit1_decoder.u[5] watchController.displayController.digit1_decoder.u[4] watchController.displayController.digit1_decoder.u[3] watchController.displayController.digit1_decoder.u[2] watchController.displayController.digit1_decoder.u[1] watchController.displayController.digit1_decoder.expr[5] watchController.displayController.digit1_decoder.expr[4] watchController.displayController.digit1_decoder.expr[3] watchController.displayController.digit1_decoder.expr[2] watchController.displayController.digit1_decoder.expr[1] watchController.displayController.text_digit watchController.displayController.small_digit watchController.displayController.large_digit_4 watchController.displayController.large_digit_3 watchController.displayController.large_digit_2 watchController.displayController.large_digit_1 watchController.displayController.time_signal watchController.Time.T_IS_WHOLE_HOUR.y watchController.Time.T_hits_T2.y watchController.Time.T_hits_T1.y watchController.Time.or1.y watchController.Time.or1.u[2] watchController.Time.or1.u[1] watchController.Time.pre1.y watchController.Time.pre1.u watchController.Time.and1.y watchController.Time.and1.u[2] watchController.Time.and1.u[1] watchController.Time.y watchController.Time.bus_node.d watchController.Time.bus_node.c watchController.Time.bus_node.b watchController.Time.bus_node.a watchController.Time.clock_time watchController.Time.alarm2_time watchController.Time.alarm1_time watchController.Time.update_time watchController.Time.time_to_display.firstActiveIndex watchController.Time.time_to_display.y watchController.Time.time_to_display.u[4] watchController.Time.time_to_display.u[3] watchController.Time.time_to_display.u[2] watchController.Time.time_to_display.u[1] watchController.Time.time_to_display.expr[4] watchController.Time.time_to_display.expr[3] watchController.Time.time_to_display.expr[2] watchController.Time.time_to_display.expr[1] watchController.Time.time_update_frequency.y watchController.Time.time_scale.y watchController.Time.clock.local_set watchController.Time.clock.local_reset watchController.Time.clock.set watchController.Time.clock.reset watchController.Time.clock.trigger watchController.Time.clock.u watchController.Time.clock.y watchController.Time.signalBus.d watchController.Time.signalBus.c watchController.Time.signalBus.b watchController.Time.signalBus.a edge7.y edge7.u alarmTrigger.T_hits_T2 alarmTrigger.T_hits_T1 alarmTrigger.or3.y alarmTrigger.or3.u[3] alarmTrigger.or3.u[2] alarmTrigger.or3.u[1] alarmTrigger.not3.y alarmTrigger.not3.u alarmTrigger.and2.y alarmTrigger.and2.u[3] alarmTrigger.and2.u[2] alarmTrigger.and2.u[1] alarmTrigger.or2.y alarmTrigger.or2.u[1] alarmTrigger.integerToBoolean.y alarmTrigger.integerToBoolean.u alarmTrigger.sum.y alarmTrigger.sum.u[2] alarmTrigger.sum.u[1] alarmTrigger.and3.y alarmTrigger.and3.u[4] alarmTrigger.and3.u[3] alarmTrigger.and3.u[2] alarmTrigger.and3.u[1] alarmTrigger.and1.y alarmTrigger.and1.u[3] alarmTrigger.and1.u[2] alarmTrigger.and1.u[1] alarmTrigger.or1.y alarmTrigger.or1.u[2] alarmTrigger.or1.u[1] alarmTrigger.alarms_beep alarmTrigger.P alarmTrigger.P2 alarmTrigger.P1 alarmTrigger.T2 alarmTrigger.T1 edge6.y edge6.u watchDisplay.large_digit4 watchDisplay.large_digit3 watchDisplay.large_digit2 watchDisplay.text_digit watchDisplay.small_digit watchDisplay.large_digit1 edge5.y edge5.u and7.y and7.u2 and6.y and6.u2 and5.y and5.u2 and4.y and4.u2 edge4.y edge4.u not2.y not2.u edge3.y edge3.u edge2.y edge2.u pre1.y pre1.u edge1.y edge1.u and3.y and3.u2 not1.y not1.u wait_c_up_1.localCondition wait_c_up_1.t_start wait_c_up_1.enableFire wait_c_up_1.fire wait_c_up_1.conditionPort wait_c_up_1.outPort.checkOneDelayedTransitionPerLoop wait_c_up_1.outPort.node.resume wait_c_up_1.outPort.node.suspend wait_c_up_1.outPort.fire wait_c_up_1.inPort.node.resume wait_c_up_1.inPort.node.suspend wait_c_up_1.inPort.fire wait_c_up_1.inPort.available two_sec_in_wait.t_start two_sec_in_wait.enableFire two_sec_in_wait.fire two_sec_in_wait.outPort.checkOneDelayedTransitionPerLoop two_sec_in_wait.outPort.node.resume two_sec_in_wait.outPort.node.suspend two_sec_in_wait.outPort.fire two_sec_in_wait.inPort.node.resume two_sec_in_wait.inPort.node.suspend two_sec_in_wait.inPort.fire two_sec_in_wait.inPort.available wait.outport_fire wait.inport_fire wait.connectorNode.node.resume wait.connectorNode.node.suspend wait.oldActive wait.newActive wait.active wait.outPort[2].node.resume wait.outPort[2].node.suspend wait.outPort[2].fire wait.outPort[2].available wait.outPort[1].node.resume wait.outPort[1].node.suspend wait.outPort[1].fire wait.outPort[1].available wait.inPort[1].checkOneDelayedTransitionPerLoop wait.inPort[1].node.resume wait.inPort[1].node.suspend wait.inPort[1].fire regular_time_c.localCondition regular_time_c.enableFire regular_time_c.fire regular_time_c.conditionPort regular_time_c.outPort.checkOneDelayedTransitionPerLoop regular_time_c.outPort.node.resume regular_time_c.outPort.node.suspend regular_time_c.outPort.fire regular_time_c.inPort.checkOneDelayedTransitionPerLoop regular_time_c.inPort.node.resume regular_time_c.inPort.node.suspend regular_time_c.inPort.fire regular_time_c.inPort.available and2.y and2.u2 and1.y and1.u1 alarms_bus_node.d alarms_bus_node.c alarms_bus_node.b alarms_bus_node.a main_bus_node.d main_bus_node.c main_bus_node.b main_bus_node.a top_level_bus_node.d top_level_bus_node.c top_level_bus_node.b top_level_bus_node.a t_hits_t1.localCondition t_hits_t1.enableFire t_hits_t1.fire t_hits_t1.conditionPort t_hits_t1.outPort.node.resume t_hits_t1.outPort.node.suspend t_hits_t1.outPort.fire t_hits_t1.inPort.node.resume t_hits_t1.inPort.node.suspend t_hits_t1.inPort.fire t_hits_t1.inPort.available displays_bus_node.d displays_bus_node.c displays_bus_node.b displays_bus_node.a battery_inserted.localCondition battery_inserted.enableFire battery_inserted.fire battery_inserted.conditionPort battery_inserted.outPort.checkOneDelayedTransitionPerLoop battery_inserted.outPort.node.resume battery_inserted.outPort.node.suspend battery_inserted.outPort.fire battery_inserted.inPort.checkOneDelayedTransitionPerLoop battery_inserted.inPort.node.resume battery_inserted.inPort.node.suspend battery_inserted.inPort.fire battery_inserted.inPort.available signalBus.d signalBus.c signalBus.b signalBus.a A.buttonState A.y B.buttonState B.y D.buttonState D.y C.buttonState C.y stopwatch_a.localCondition stopwatch_a.enableFire stopwatch_a.fire stopwatch_a.conditionPort stopwatch_a.outPort.checkOneDelayedTransitionPerLoop stopwatch_a.outPort.node.resume stopwatch_a.outPort.node.suspend stopwatch_a.outPort.fire stopwatch_a.inPort.checkOneDelayedTransitionPerLoop stopwatch_a.inPort.node.resume stopwatch_a.inPort.node.suspend stopwatch_a.inPort.fire stopwatch_a.inPort.available out_a.localCondition out_a.enableFire out_a.fire out_a.conditionPort out_a.outPort.checkOneDelayedTransitionPerLoop out_a.outPort.node.resume out_a.outPort.node.suspend out_a.outPort.fire out_a.inPort.node.resume out_a.inPort.node.suspend out_a.inPort.fire out_a.inPort.available two_min_in_out.t_start two_min_in_out.enableFire two_min_in_out.fire two_min_in_out.outPort.checkOneDelayedTransitionPerLoop two_min_in_out.outPort.node.resume two_min_in_out.outPort.node.suspend two_min_in_out.outPort.fire two_min_in_out.inPort.checkOneDelayedTransitionPerLoop two_min_in_out.inPort.node.resume two_min_in_out.inPort.node.suspend two_min_in_out.inPort.fire two_min_in_out.inPort.available regular_a.localCondition regular_a.enableFire regular_a.fire regular_a.conditionPort regular_a.outPort.checkOneDelayedTransitionPerLoop regular_a.outPort.node.resume regular_a.outPort.node.suspend regular_a.outPort.fire regular_a.inPort.checkOneDelayedTransitionPerLoop regular_a.inPort.node.resume regular_a.inPort.node.suspend regular_a.inPort.fire regular_a.inPort.available stopwatch.freeze_time.y stopwatch.freeze_time.u[2] stopwatch.freeze_time.u[1] stopwatch.stopwatch_lap.local_set stopwatch.stopwatch_lap.set stopwatch.stopwatch_lap.trigger stopwatch.stopwatch_lap.y stopwatch.select_display_of_time_or_lap_time.firstActiveIndex stopwatch.select_display_of_time_or_lap_time.y stopwatch.select_display_of_time_or_lap_time.u[3] stopwatch.select_display_of_time_or_lap_time.u[2] stopwatch.select_display_of_time_or_lap_time.u[1] stopwatch.select_display_of_time_or_lap_time.expr[3] stopwatch.select_display_of_time_or_lap_time.expr[2] stopwatch.select_display_of_time_or_lap_time.expr[1] stopwatch.increment_time.y stopwatch.increment_time.u[2] stopwatch.increment_time.u[1] stopwatch.time_increment_trigger.y stopwatch.stopwatch_clock.local_set stopwatch.stopwatch_clock.trigger stopwatch.stopwatch_clock.y stopwatch.edge2.y stopwatch.edge2.u stopwatch.edge1.y stopwatch.edge1.u stopwatch.displayrun_d.localCondition stopwatch.displayrun_d.enableFire stopwatch.displayrun_d.fire stopwatch.displayrun_d.conditionPort stopwatch.displayrun_d.outPort.checkOneDelayedTransitionPerLoop stopwatch.displayrun_d.outPort.node.resume stopwatch.displayrun_d.outPort.node.suspend stopwatch.displayrun_d.outPort.fire stopwatch.displayrun_d.inPort.node.resume stopwatch.displayrun_d.inPort.node.suspend stopwatch.displayrun_d.inPort.fire stopwatch.displayrun_d.inPort.available stopwatch.off_b.localCondition stopwatch.off_b.enableFire stopwatch.off_b.fire stopwatch.off_b.conditionPort stopwatch.off_b.outPort.checkOneDelayedTransitionPerLoop stopwatch.off_b.outPort.node.resume stopwatch.off_b.outPort.node.suspend stopwatch.off_b.outPort.fire stopwatch.off_b.inPort.node.resume stopwatch.off_b.inPort.node.suspend stopwatch.off_b.inPort.fire stopwatch.off_b.inPort.available stopwatch.on_b.localCondition stopwatch.on_b.enableFire stopwatch.on_b.fire stopwatch.on_b.conditionPort stopwatch.on_b.outPort.checkOneDelayedTransitionPerLoop stopwatch.on_b.outPort.node.resume stopwatch.on_b.outPort.node.suspend stopwatch.on_b.outPort.fire stopwatch.on_b.inPort.checkOneDelayedTransitionPerLoop stopwatch.on_b.inPort.node.resume stopwatch.on_b.inPort.node.suspend stopwatch.on_b.inPort.fire stopwatch.on_b.inPort.available stopwatch.zero_b.localCondition stopwatch.zero_b.enableFire stopwatch.zero_b.fire stopwatch.zero_b.conditionPort stopwatch.zero_b.outPort.checkOneDelayedTransitionPerLoop stopwatch.zero_b.outPort.node.resume stopwatch.zero_b.outPort.node.suspend stopwatch.zero_b.outPort.fire stopwatch.zero_b.inPort.checkOneDelayedTransitionPerLoop stopwatch.zero_b.inPort.node.resume stopwatch.zero_b.inPort.node.suspend stopwatch.zero_b.inPort.fire stopwatch.zero_b.inPort.available stopwatch.zero.outport_fire stopwatch.zero.inport_fire stopwatch.zero.connectorNode.node.resume stopwatch.zero.connectorNode.node.suspend stopwatch.zero.oldActive stopwatch.zero.newActive stopwatch.zero.outPort[1].checkOneDelayedTransitionPerLoop stopwatch.zero.outPort[1].node.resume stopwatch.zero.outPort[1].node.suspend stopwatch.zero.outPort[1].fire stopwatch.zero.outPort[1].available stopwatch.zero.inPort[2].checkOneDelayedTransitionPerLoop stopwatch.zero.inPort[2].node.resume stopwatch.zero.inPort[2].node.suspend stopwatch.zero.inPort[2].fire stopwatch.zero.inPort[1].checkOneDelayedTransitionPerLoop stopwatch.zero.inPort[1].node.resume stopwatch.zero.inPort[1].node.suspend stopwatch.zero.inPort[1].fire stopwatch.lap_d.localCondition stopwatch.lap_d.enableFire stopwatch.lap_d.fire stopwatch.lap_d.conditionPort stopwatch.lap_d.outPort.checkOneDelayedTransitionPerLoop stopwatch.lap_d.outPort.node.resume stopwatch.lap_d.outPort.node.suspend stopwatch.lap_d.outPort.fire stopwatch.lap_d.inPort.node.resume stopwatch.lap_d.inPort.node.suspend stopwatch.lap_d.inPort.fire stopwatch.lap_d.inPort.available stopwatch.reg_d.localCondition stopwatch.reg_d.enableFire stopwatch.reg_d.fire stopwatch.reg_d.conditionPort stopwatch.reg_d.outPort.checkOneDelayedTransitionPerLoop stopwatch.reg_d.outPort.node.resume stopwatch.reg_d.outPort.node.suspend stopwatch.reg_d.outPort.fire stopwatch.reg_d.inPort.checkOneDelayedTransitionPerLoop stopwatch.reg_d.inPort.node.resume stopwatch.reg_d.inPort.node.suspend stopwatch.reg_d.inPort.fire stopwatch.reg_d.inPort.available stopwatch.off.outport_fire stopwatch.off.inport_fire stopwatch.off.connectorNode.node.resume stopwatch.off.connectorNode.node.suspend stopwatch.off.oldActive stopwatch.off.newActive stopwatch.off.active stopwatch.off.outPort[2].node.resume stopwatch.off.outPort[2].node.suspend stopwatch.off.outPort[2].fire stopwatch.off.outPort[2].available stopwatch.off.outPort[1].node.resume stopwatch.off.outPort[1].node.suspend stopwatch.off.outPort[1].fire stopwatch.off.outPort[1].available stopwatch.off.inPort[1].checkOneDelayedTransitionPerLoop stopwatch.off.inPort[1].node.resume stopwatch.off.inPort[1].node.suspend stopwatch.off.inPort[1].fire stopwatch.on.outport_fire stopwatch.on.inport_fire stopwatch.on.connectorNode.node.resume stopwatch.on.connectorNode.node.suspend stopwatch.on.oldActive stopwatch.on.newActive stopwatch.on.outPort[1].checkOneDelayedTransitionPerLoop stopwatch.on.outPort[1].node.resume stopwatch.on.outPort[1].node.suspend stopwatch.on.outPort[1].fire stopwatch.on.outPort[1].available stopwatch.on.inPort[2].checkOneDelayedTransitionPerLoop stopwatch.on.inPort[2].node.resume stopwatch.on.inPort[2].node.suspend stopwatch.on.inPort[2].fire stopwatch.on.inPort[1].checkOneDelayedTransitionPerLoop stopwatch.on.inPort[1].node.resume stopwatch.on.inPort[1].node.suspend stopwatch.on.inPort[1].fire stopwatch.lap.outport_fire stopwatch.lap.inport_fire stopwatch.lap.connectorNode.node.resume stopwatch.lap.connectorNode.node.suspend stopwatch.lap.oldActive stopwatch.lap.newActive stopwatch.lap.outPort[1].node.resume stopwatch.lap.outPort[1].node.suspend stopwatch.lap.outPort[1].fire stopwatch.lap.outPort[1].available stopwatch.lap.inPort[1].checkOneDelayedTransitionPerLoop stopwatch.lap.inPort[1].node.resume stopwatch.lap.inPort[1].node.suspend stopwatch.lap.inPort[1].fire stopwatch.reg.outport_fire stopwatch.reg.inport_fire stopwatch.reg.connectorNode.node.resume stopwatch.reg.connectorNode.node.suspend stopwatch.reg.oldActive stopwatch.reg.newActive stopwatch.reg.outPort[2].checkOneDelayedTransitionPerLoop stopwatch.reg.outPort[2].node.resume stopwatch.reg.outPort[2].node.suspend stopwatch.reg.outPort[2].fire stopwatch.reg.outPort[2].available stopwatch.reg.outPort[1].checkOneDelayedTransitionPerLoop stopwatch.reg.outPort[1].node.resume stopwatch.reg.outPort[1].node.suspend stopwatch.reg.outPort[1].fire stopwatch.reg.outPort[1].available stopwatch.reg.inPort[2].checkOneDelayedTransitionPerLoop stopwatch.reg.inPort[2].node.resume stopwatch.reg.inPort[2].node.suspend stopwatch.reg.inPort[2].fire stopwatch.reg.inPort[1].checkOneDelayedTransitionPerLoop stopwatch.reg.inPort[1].node.resume stopwatch.reg.inPort[1].node.suspend stopwatch.reg.inPort[1].fire stopwatch.displayrun.exit[2].node.resume stopwatch.displayrun.exit[2].node.suspend stopwatch.displayrun.exit[2].fire stopwatch.displayrun.exit[2].available stopwatch.displayrun.exit[1].checkOneDelayedTransitionPerLoop stopwatch.displayrun.exit[1].node.resume stopwatch.displayrun.exit[1].node.suspend stopwatch.displayrun.exit[1].fire stopwatch.displayrun.exit[1].available stopwatch.displayrun.entry[2].checkOneDelayedTransitionPerLoop stopwatch.displayrun.entry[2].node.resume stopwatch.displayrun.entry[2].node.suspend stopwatch.displayrun.entry[2].fire stopwatch.displayrun.entry[1].checkOneDelayedTransitionPerLoop stopwatch.displayrun.entry[1].node.resume stopwatch.displayrun.entry[1].node.suspend stopwatch.displayrun.entry[1].fire stopwatch.displayrun.outPort[1].node.resume stopwatch.displayrun.outPort[1].node.suspend stopwatch.displayrun.outPort[1].fire stopwatch.displayrun.outPort[1].available stopwatch.displayrun.inPort[1].checkOneDelayedTransitionPerLoop stopwatch.displayrun.inPort[1].node.resume stopwatch.displayrun.inPort[1].node.suspend stopwatch.displayrun.inPort[1].fire stopwatch.displayrun.oldActive stopwatch.displayrun.local_exit[2].node.resume stopwatch.displayrun.local_exit[2].node.suspend stopwatch.displayrun.local_exit[2].fire stopwatch.displayrun.local_exit[2].available stopwatch.displayrun.local_exit[1].checkOneDelayedTransitionPerLoop stopwatch.displayrun.local_exit[1].node.resume stopwatch.displayrun.local_exit[1].node.suspend stopwatch.displayrun.local_exit[1].fire stopwatch.displayrun.local_exit[1].available stopwatch.displayrun.local_entry[2].checkOneDelayedTransitionPerLoop stopwatch.displayrun.local_entry[2].node.resume stopwatch.displayrun.local_entry[2].node.suspend stopwatch.displayrun.local_entry[2].fire stopwatch.displayrun.local_entry[1].checkOneDelayedTransitionPerLoop stopwatch.displayrun.local_entry[1].node.resume stopwatch.displayrun.local_entry[1].node.suspend stopwatch.displayrun.local_entry[1].fire stopwatch.displayrun.local_outPort[1].node.resume stopwatch.displayrun.local_outPort[1].node.suspend stopwatch.displayrun.local_outPort[1].fire stopwatch.displayrun.local_outPort[1].available stopwatch.displayrun.local_inPort[1].checkOneDelayedTransitionPerLoop stopwatch.displayrun.local_inPort[1].node.resume stopwatch.displayrun.local_inPort[1].node.suspend stopwatch.displayrun.local_inPort[1].fire stopwatch.displayrun.entry_restart[2] stopwatch.displayrun.entry_restart[1] stopwatch.displayrun.entryIndices[2] stopwatch.displayrun.entryIndices[1] stopwatch.displayrun.checkOneDelayedTransitionPerLoopIn stopwatch.displayrun.entry_fire stopwatch.displayrun.resume_fire stopwatch.displayrun.suspend_fire stopwatch.displayrun.outport_fire stopwatch.displayrun.inport_fire stopwatch.displayrun.finished stopwatch.displayrun.newActive stopwatch.displayrun.connectorNode.node.resume stopwatch.displayrun.connectorNode.node.suspend stopwatch.displayrun.active stopwatch.entry[1].checkOneDelayedTransitionPerLoop stopwatch.entry[1].node.resume stopwatch.entry[1].node.suspend stopwatch.entry[1].fire stopwatch.suspend[1].checkOneDelayedTransitionPerLoop stopwatch.suspend[1].node.resume stopwatch.suspend[1].node.suspend stopwatch.suspend[1].fire stopwatch.suspend[1].available stopwatch.resume[1].checkOneDelayedTransitionPerLoop stopwatch.resume[1].node.resume stopwatch.resume[1].node.suspend stopwatch.resume[1].fire stopwatch.oldActive stopwatch.local_entry[1].checkOneDelayedTransitionPerLoop stopwatch.local_entry[1].node.resume stopwatch.local_entry[1].node.suspend stopwatch.local_entry[1].fire stopwatch.local_resume[1].checkOneDelayedTransitionPerLoop stopwatch.local_resume[1].node.resume stopwatch.local_resume[1].node.suspend stopwatch.local_resume[1].fire stopwatch.local_suspend[1].checkOneDelayedTransitionPerLoop stopwatch.local_suspend[1].node.resume stopwatch.local_suspend[1].node.suspend stopwatch.local_suspend[1].fire stopwatch.local_suspend[1].available stopwatch.entry_restart[1] stopwatch.entryIndices[1] stopwatch.firstActive stopwatch.checkOneDelayedTransitionPerLoopIn stopwatch.entry_fire stopwatch.resume_fire stopwatch.suspend_fire stopwatch.outport_fire stopwatch.inport_fire stopwatch.finished stopwatch.newActive stopwatch.connectorNode.node.resume stopwatch.connectorNode.node.suspend stopwatch.active out.or2.y out.or2.u[2] out.or2.u[1] out.or1.y out.or1.u[2] out.or1.u[1] out.alarm1_setting.local_set out.alarm1_setting.local_reset out.alarm1_setting.u out.alarm1_setting.y out.quantity1.firstActiveIndex out.quantity1.y out.quantity1.u[3] out.quantity1.u[2] out.quantity1.u[1] out.quantity1.expr[3] out.quantity1.expr[2] out.quantity1.expr[1] out.alarm2_setting.local_set out.alarm2_setting.local_reset out.alarm2_setting.u out.alarm2_setting.y out.quantity2.firstActiveIndex out.quantity2.y out.quantity2.u[3] out.quantity2.u[2] out.quantity2.u[1] out.quantity2.expr[3] out.quantity2.expr[2] out.quantity2.expr[1] out.edge1.y out.edge1.u out.alarm1_bus_node1.d out.alarm1_bus_node1.c out.alarm1_bus_node1.b out.alarm1_bus_node1.a out.edge7.y out.edge7.u out.edge10.y out.edge10.u out.alarm1_bus_node.d out.alarm1_bus_node.c out.alarm1_bus_node.b out.alarm1_bus_node.a out.chime_bus_node.d out.chime_bus_node.c out.chime_bus_node.b out.chime_bus_node.a out.edge9.y out.edge9.u out.alarm2_bus_node.d out.alarm2_bus_node.c out.alarm2_bus_node.b out.alarm2_bus_node.a out.edge8.y out.edge8.u out.update2_bus_node.d out.update2_bus_node.c out.update2_bus_node.b out.update2_bus_node.a out.edge6.y out.edge6.u out.edge5.y out.edge5.u out.edge4.y out.edge4.u out.edge3.y out.edge3.u out.edge2.y out.edge2.u out.alarm2_c.localCondition out.alarm2_c.enableFire out.alarm2_c.fire out.alarm2_c.conditionPort out.alarm2_c.outPort.checkOneDelayedTransitionPerLoop out.alarm2_c.outPort.node.resume out.alarm2_c.outPort.node.suspend out.alarm2_c.outPort.fire out.alarm2_c.inPort.node.resume out.alarm2_c.inPort.node.suspend out.alarm2_c.inPort.fire out.alarm2_c.inPort.available out.alarm2_a.localCondition out.alarm2_a.enableFire out.alarm2_a.fire out.alarm2_a.conditionPort out.alarm2_a.outPort.node.resume out.alarm2_a.outPort.node.suspend out.alarm2_a.outPort.fire out.alarm2_a.inPort.node.resume out.alarm2_a.inPort.node.suspend out.alarm2_a.inPort.fire out.alarm2_a.inPort.available out.alarm1_a.localCondition out.alarm1_a.enableFire out.alarm1_a.fire out.alarm1_a.conditionPort out.alarm1_a.outPort.node.resume out.alarm1_a.outPort.node.suspend out.alarm1_a.outPort.fire out.alarm1_a.inPort.checkOneDelayedTransitionPerLoop out.alarm1_a.inPort.node.resume out.alarm1_a.inPort.node.suspend out.alarm1_a.inPort.fire out.alarm1_a.inPort.available out.alarm1_c.localCondition out.alarm1_c.enableFire out.alarm1_c.fire out.alarm1_c.conditionPort out.alarm1_c.outPort.checkOneDelayedTransitionPerLoop out.alarm1_c.outPort.node.resume out.alarm1_c.outPort.node.suspend out.alarm1_c.outPort.fire out.alarm1_c.inPort.checkOneDelayedTransitionPerLoop out.alarm1_c.inPort.node.resume out.alarm1_c.inPort.node.suspend out.alarm1_c.inPort.fire out.alarm1_c.inPort.available out.update2_c.localCondition out.update2_c.enableFire out.update2_c.fire out.update2_c.conditionPort out.update2_c.outPort.node.resume out.update2_c.outPort.node.suspend out.update2_c.outPort.fire out.update2_c.inPort.node.resume out.update2_c.inPort.node.suspend out.update2_c.inPort.fire out.update2_c.inPort.available out.update2_b.localCondition out.update2_b.enableFire out.update2_b.fire out.update2_b.conditionPort out.update2_b.outPort.node.resume out.update2_b.outPort.node.suspend out.update2_b.outPort.fire out.update2_b.inPort.checkOneDelayedTransitionPerLoop out.update2_b.inPort.node.resume out.update2_b.inPort.node.suspend out.update2_b.inPort.fire out.update2_b.inPort.available out.update2_d.localCondition out.update2_d.enableFire out.update2_d.conditionPort out.update2_d.outPort.checkOneDelayedTransitionPerLoop out.update2_d.outPort.node.resume out.update2_d.outPort.node.suspend out.update2_d.inPort.checkOneDelayedTransitionPerLoop out.update2_d.inPort.node.resume out.update2_d.inPort.node.suspend out.update2_d.inPort.available out.update2_min.outport_fire out.update2_min.inport_fire out.update2_min.connectorNode.node.resume out.update2_min.connectorNode.node.suspend out.update2_min.oldActive out.update2_min.newActive out.update2_min.outPort[1].node.resume out.update2_min.outPort[1].node.suspend out.update2_min.outPort[1].fire out.update2_min.outPort[1].available out.update2_min.inPort[1].node.resume out.update2_min.inPort[1].node.suspend out.update2_min.inPort[1].fire out.update2_ten_min_c.localCondition out.update2_ten_min_c.enableFire out.update2_ten_min_c.fire out.update2_ten_min_c.conditionPort out.update2_ten_min_c.outPort.node.resume out.update2_ten_min_c.outPort.node.suspend out.update2_ten_min_c.outPort.fire out.update2_ten_min_c.inPort.node.resume out.update2_ten_min_c.inPort.node.suspend out.update2_ten_min_c.inPort.fire out.update2_ten_min_c.inPort.available out.update2_ten_min.outport_fire out.update2_ten_min.inport_fire out.update2_ten_min.connectorNode.node.resume out.update2_ten_min.connectorNode.node.suspend out.update2_ten_min.oldActive out.update2_ten_min.newActive out.update2_ten_min.outPort[1].node.resume out.update2_ten_min.outPort[1].node.suspend out.update2_ten_min.outPort[1].fire out.update2_ten_min.outPort[1].available out.update2_ten_min.inPort[1].node.resume out.update2_ten_min.inPort[1].node.suspend out.update2_ten_min.inPort[1].fire out.update2_hour_c.localCondition out.update2_hour_c.enableFire out.update2_hour_c.fire out.update2_hour_c.conditionPort out.update2_hour_c.outPort.node.resume out.update2_hour_c.outPort.node.suspend out.update2_hour_c.outPort.fire out.update2_hour_c.inPort.node.resume out.update2_hour_c.inPort.node.suspend out.update2_hour_c.inPort.fire out.update2_hour_c.inPort.available out.update2_hour.outport_fire out.update2_hour.inport_fire out.update2_hour.connectorNode.node.resume out.update2_hour.connectorNode.node.suspend out.update2_hour.oldActive out.update2_hour.newActive out.update2_hour.outPort[1].node.resume out.update2_hour.outPort[1].node.suspend out.update2_hour.outPort[1].fire out.update2_hour.outPort[1].available out.update2_hour.inPort[1].checkOneDelayedTransitionPerLoop out.update2_hour.inPort[1].node.resume out.update2_hour.inPort[1].node.suspend out.update2_hour.inPort[1].fire out.update2.exit[1].node.resume out.update2.exit[1].node.suspend out.update2.exit[1].fire out.update2.exit[1].available out.update2.entry[1].checkOneDelayedTransitionPerLoop out.update2.entry[1].node.resume out.update2.entry[1].node.suspend out.update2.entry[1].fire out.update2.resume[1].checkOneDelayedTransitionPerLoop out.update2.resume[1].node.resume out.update2.resume[1].node.suspend out.update2.suspend[2].checkOneDelayedTransitionPerLoop out.update2.suspend[2].node.resume out.update2.suspend[2].node.suspend out.update2.suspend[2].fire out.update2.suspend[2].available out.update2.suspend[1].checkOneDelayedTransitionPerLoop out.update2.suspend[1].node.resume out.update2.suspend[1].node.suspend out.update2.suspend[1].available out.update2.outPort[1].node.resume out.update2.outPort[1].node.suspend out.update2.outPort[1].fire out.update2.outPort[1].available out.update2.inPort[1].checkOneDelayedTransitionPerLoop out.update2.inPort[1].node.resume out.update2.inPort[1].node.suspend out.update2.inPort[1].fire out.update2.oldActive out.update2.local_exit[1].node.resume out.update2.local_exit[1].node.suspend out.update2.local_exit[1].fire out.update2.local_exit[1].available out.update2.local_entry[1].checkOneDelayedTransitionPerLoop out.update2.local_entry[1].node.resume out.update2.local_entry[1].node.suspend out.update2.local_entry[1].fire out.update2.local_resume[1].checkOneDelayedTransitionPerLoop out.update2.local_resume[1].node.resume out.update2.local_resume[1].node.suspend out.update2.local_suspend[2].checkOneDelayedTransitionPerLoop out.update2.local_suspend[2].node.resume out.update2.local_suspend[2].node.suspend out.update2.local_suspend[2].fire out.update2.local_suspend[2].available out.update2.local_suspend[1].checkOneDelayedTransitionPerLoop out.update2.local_suspend[1].node.resume out.update2.local_suspend[1].node.suspend out.update2.local_suspend[1].available out.update2.local_outPort[1].node.resume out.update2.local_outPort[1].node.suspend out.update2.local_outPort[1].fire out.update2.local_outPort[1].available out.update2.local_inPort[1].checkOneDelayedTransitionPerLoop out.update2.local_inPort[1].node.resume out.update2.local_inPort[1].node.suspend out.update2.local_inPort[1].fire out.update2.entry_restart[1] out.update2.entryIndices[1] out.update2.firstActive out.update2.checkOneDelayedTransitionPerLoopIn out.update2.entry_fire out.update2.resume_fire out.update2.suspend_fire out.update2.outport_fire out.update2.inport_fire out.update2.finished out.update2.newActive out.update2.connectorNode.node.resume out.update2.connectorNode.node.suspend out.update2.active out.alarm2_on_d.localCondition out.alarm2_on_d.enableFire out.alarm2_on_d.fire out.alarm2_on_d.conditionPort out.alarm2_on_d.outPort.node.resume out.alarm2_on_d.outPort.node.suspend out.alarm2_on_d.outPort.fire out.alarm2_on_d.inPort.node.resume out.alarm2_on_d.inPort.node.suspend out.alarm2_on_d.inPort.fire out.alarm2_on_d.inPort.available out.alarm2_on.outport_fire out.alarm2_on.inport_fire out.alarm2_on.connectorNode.node.resume out.alarm2_on.connectorNode.node.suspend out.alarm2_on.oldActive out.alarm2_on.newActive out.alarm2_on.outPort[1].node.resume out.alarm2_on.outPort[1].node.suspend out.alarm2_on.outPort[1].fire out.alarm2_on.outPort[1].available out.alarm2_on.inPort[1].node.resume out.alarm2_on.inPort[1].node.suspend out.alarm2_on.inPort[1].fire out.alarm2_off_d.localCondition out.alarm2_off_d.enableFire out.alarm2_off_d.fire out.alarm2_off_d.conditionPort out.alarm2_off_d.outPort.node.resume out.alarm2_off_d.outPort.node.suspend out.alarm2_off_d.outPort.fire out.alarm2_off_d.inPort.node.resume out.alarm2_off_d.inPort.node.suspend out.alarm2_off_d.inPort.fire out.alarm2_off_d.inPort.available out.alarm2_off.outport_fire out.alarm2_off.inport_fire out.alarm2_off.connectorNode.node.resume out.alarm2_off.connectorNode.node.suspend out.alarm2_off.oldActive out.alarm2_off.newActive out.alarm2_off.outPort[1].node.resume out.alarm2_off.outPort[1].node.suspend out.alarm2_off.outPort[1].fire out.alarm2_off.outPort[1].available out.alarm2_off.inPort[2].node.resume out.alarm2_off.inPort[2].node.suspend out.alarm2_off.inPort[2].fire out.alarm2_off.inPort[1].node.resume out.alarm2_off.inPort[1].node.suspend out.alarm2_off.inPort[1].fire out.alarm2.entry[1].node.resume out.alarm2.entry[1].node.suspend out.alarm2.entry[1].fire out.alarm2.resume[3].node.resume out.alarm2.resume[3].node.suspend out.alarm2.resume[3].fire out.alarm2.resume[2].node.resume out.alarm2.resume[2].node.suspend out.alarm2.resume[2].fire out.alarm2.resume[1].node.resume out.alarm2.resume[1].node.suspend out.alarm2.resume[1].fire out.alarm2.suspend[2].node.resume out.alarm2.suspend[2].node.suspend out.alarm2.suspend[2].fire out.alarm2.suspend[2].available out.alarm2.suspend[1].node.resume out.alarm2.suspend[1].node.suspend out.alarm2.suspend[1].fire out.alarm2.suspend[1].available out.alarm2.oldActive out.alarm2.local_entry[1].node.resume out.alarm2.local_entry[1].node.suspend out.alarm2.local_entry[1].fire out.alarm2.local_resume[3].node.resume out.alarm2.local_resume[3].node.suspend out.alarm2.local_resume[3].fire out.alarm2.local_resume[2].node.resume out.alarm2.local_resume[2].node.suspend out.alarm2.local_resume[2].fire out.alarm2.local_resume[1].node.resume out.alarm2.local_resume[1].node.suspend out.alarm2.local_resume[1].fire out.alarm2.local_suspend[2].node.resume out.alarm2.local_suspend[2].node.suspend out.alarm2.local_suspend[2].fire out.alarm2.local_suspend[2].available out.alarm2.local_suspend[1].node.resume out.alarm2.local_suspend[1].node.suspend out.alarm2.local_suspend[1].fire out.alarm2.local_suspend[1].available out.alarm2.entry_restart[1] out.alarm2.entryIndices[1] out.alarm2.firstActive out.alarm2.entry_fire out.alarm2.resume_fire out.alarm2.suspend_fire out.alarm2.outport_fire out.alarm2.inport_fire out.alarm2.finished out.alarm2.newActive out.alarm2.connectorNode.node.resume out.alarm2.connectorNode.node.suspend out.alarm2.active out.update1_c.localCondition out.update1_c.enableFire out.update1_c.fire out.update1_c.conditionPort out.update1_c.outPort.checkOneDelayedTransitionPerLoop out.update1_c.outPort.node.resume out.update1_c.outPort.node.suspend out.update1_c.outPort.fire out.update1_c.inPort.node.resume out.update1_c.inPort.node.suspend out.update1_c.inPort.fire out.update1_c.inPort.available out.update1_b.localCondition out.update1_b.enableFire out.update1_b.fire out.update1_b.conditionPort out.update1_b.outPort.checkOneDelayedTransitionPerLoop out.update1_b.outPort.node.resume out.update1_b.outPort.node.suspend out.update1_b.outPort.fire out.update1_b.inPort.checkOneDelayedTransitionPerLoop out.update1_b.inPort.node.resume out.update1_b.inPort.node.suspend out.update1_b.inPort.fire out.update1_b.inPort.available out.update1_d.localCondition out.update1_d.enableFire out.update1_d.conditionPort out.update1_d.outPort.checkOneDelayedTransitionPerLoop out.update1_d.outPort.node.resume out.update1_d.outPort.node.suspend out.update1_d.inPort.checkOneDelayedTransitionPerLoop out.update1_d.inPort.node.resume out.update1_d.inPort.node.suspend out.update1_d.inPort.available out.update1_min.outport_fire out.update1_min.inport_fire out.update1_min.connectorNode.node.resume out.update1_min.connectorNode.node.suspend out.update1_min.oldActive out.update1_min.newActive out.update1_min.outPort[1].node.resume out.update1_min.outPort[1].node.suspend out.update1_min.outPort[1].fire out.update1_min.outPort[1].available out.update1_min.inPort[1].node.resume out.update1_min.inPort[1].node.suspend out.update1_min.inPort[1].fire out.update1_ten_min_c.localCondition out.update1_ten_min_c.enableFire out.update1_ten_min_c.fire out.update1_ten_min_c.conditionPort out.update1_ten_min_c.outPort.node.resume out.update1_ten_min_c.outPort.node.suspend out.update1_ten_min_c.outPort.fire out.update1_ten_min_c.inPort.node.resume out.update1_ten_min_c.inPort.node.suspend out.update1_ten_min_c.inPort.fire out.update1_ten_min_c.inPort.available out.update1_ten_min.outport_fire out.update1_ten_min.inport_fire out.update1_ten_min.connectorNode.node.resume out.update1_ten_min.connectorNode.node.suspend out.update1_ten_min.oldActive out.update1_ten_min.newActive out.update1_ten_min.outPort[1].node.resume out.update1_ten_min.outPort[1].node.suspend out.update1_ten_min.outPort[1].fire out.update1_ten_min.outPort[1].available out.update1_ten_min.inPort[1].node.resume out.update1_ten_min.inPort[1].node.suspend out.update1_ten_min.inPort[1].fire out.update1_hour_c.localCondition out.update1_hour_c.enableFire out.update1_hour_c.fire out.update1_hour_c.conditionPort out.update1_hour_c.outPort.node.resume out.update1_hour_c.outPort.node.suspend out.update1_hour_c.outPort.fire out.update1_hour_c.inPort.node.resume out.update1_hour_c.inPort.node.suspend out.update1_hour_c.inPort.fire out.update1_hour_c.inPort.available out.update1_hour.outport_fire out.update1_hour.inport_fire out.update1_hour.connectorNode.node.resume out.update1_hour.connectorNode.node.suspend out.update1_hour.oldActive out.update1_hour.newActive out.update1_hour.outPort[1].node.resume out.update1_hour.outPort[1].node.suspend out.update1_hour.outPort[1].fire out.update1_hour.outPort[1].available out.update1_hour.inPort[1].checkOneDelayedTransitionPerLoop out.update1_hour.inPort[1].node.resume out.update1_hour.inPort[1].node.suspend out.update1_hour.inPort[1].fire out.update1.exit[1].node.resume out.update1.exit[1].node.suspend out.update1.exit[1].fire out.update1.exit[1].available out.update1.entry[1].checkOneDelayedTransitionPerLoop out.update1.entry[1].node.resume out.update1.entry[1].node.suspend out.update1.entry[1].fire out.update1.resume[1].checkOneDelayedTransitionPerLoop out.update1.resume[1].node.resume out.update1.resume[1].node.suspend out.update1.suspend[2].checkOneDelayedTransitionPerLoop out.update1.suspend[2].node.resume out.update1.suspend[2].node.suspend out.update1.suspend[2].fire out.update1.suspend[2].available out.update1.suspend[1].checkOneDelayedTransitionPerLoop out.update1.suspend[1].node.resume out.update1.suspend[1].node.suspend out.update1.suspend[1].available out.update1.outPort[1].node.resume out.update1.outPort[1].node.suspend out.update1.outPort[1].fire out.update1.outPort[1].available out.update1.inPort[1].checkOneDelayedTransitionPerLoop out.update1.inPort[1].node.resume out.update1.inPort[1].node.suspend out.update1.inPort[1].fire out.update1.oldActive out.update1.local_exit[1].node.resume out.update1.local_exit[1].node.suspend out.update1.local_exit[1].fire out.update1.local_exit[1].available out.update1.local_entry[1].checkOneDelayedTransitionPerLoop out.update1.local_entry[1].node.resume out.update1.local_entry[1].node.suspend out.update1.local_entry[1].fire out.update1.local_resume[1].checkOneDelayedTransitionPerLoop out.update1.local_resume[1].node.resume out.update1.local_resume[1].node.suspend out.update1.local_suspend[2].checkOneDelayedTransitionPerLoop out.update1.local_suspend[2].node.resume out.update1.local_suspend[2].node.suspend out.update1.local_suspend[2].fire out.update1.local_suspend[2].available out.update1.local_suspend[1].checkOneDelayedTransitionPerLoop out.update1.local_suspend[1].node.resume out.update1.local_suspend[1].node.suspend out.update1.local_suspend[1].available out.update1.local_outPort[1].node.resume out.update1.local_outPort[1].node.suspend out.update1.local_outPort[1].fire out.update1.local_outPort[1].available out.update1.local_inPort[1].checkOneDelayedTransitionPerLoop out.update1.local_inPort[1].node.resume out.update1.local_inPort[1].node.suspend out.update1.local_inPort[1].fire out.update1.entry_restart[1] out.update1.entryIndices[1] out.update1.firstActive out.update1.checkOneDelayedTransitionPerLoopIn out.update1.entry_fire out.update1.resume_fire out.update1.suspend_fire out.update1.outport_fire out.update1.inport_fire out.update1.finished out.update1.newActive out.update1.connectorNode.node.resume out.update1.connectorNode.node.suspend out.update1.active out.alarm1_on_d.localCondition out.alarm1_on_d.enableFire out.alarm1_on_d.fire out.alarm1_on_d.conditionPort out.alarm1_on_d.outPort.checkOneDelayedTransitionPerLoop out.alarm1_on_d.outPort.node.resume out.alarm1_on_d.outPort.node.suspend out.alarm1_on_d.outPort.fire out.alarm1_on_d.inPort.node.resume out.alarm1_on_d.inPort.node.suspend out.alarm1_on_d.inPort.fire out.alarm1_on_d.inPort.available out.alarm1_on.outport_fire out.alarm1_on.inport_fire out.alarm1_on.connectorNode.node.resume out.alarm1_on.connectorNode.node.suspend out.alarm1_on.oldActive out.alarm1_on.newActive out.alarm1_on.outPort[1].node.resume out.alarm1_on.outPort[1].node.suspend out.alarm1_on.outPort[1].fire out.alarm1_on.outPort[1].available out.alarm1_on.inPort[1].checkOneDelayedTransitionPerLoop out.alarm1_on.inPort[1].node.resume out.alarm1_on.inPort[1].node.suspend out.alarm1_on.inPort[1].fire out.alarm1_off_d.localCondition out.alarm1_off_d.enableFire out.alarm1_off_d.fire out.alarm1_off_d.conditionPort out.alarm1_off_d.outPort.checkOneDelayedTransitionPerLoop out.alarm1_off_d.outPort.node.resume out.alarm1_off_d.outPort.node.suspend out.alarm1_off_d.outPort.fire out.alarm1_off_d.inPort.checkOneDelayedTransitionPerLoop out.alarm1_off_d.inPort.node.resume out.alarm1_off_d.inPort.node.suspend out.alarm1_off_d.inPort.fire out.alarm1_off_d.inPort.available out.alarm1_off.outport_fire out.alarm1_off.inport_fire out.alarm1_off.connectorNode.node.resume out.alarm1_off.connectorNode.node.suspend out.alarm1_off.oldActive out.alarm1_off.newActive out.alarm1_off.outPort[1].checkOneDelayedTransitionPerLoop out.alarm1_off.outPort[1].node.resume out.alarm1_off.outPort[1].node.suspend out.alarm1_off.outPort[1].fire out.alarm1_off.outPort[1].available out.alarm1_off.inPort[2].checkOneDelayedTransitionPerLoop out.alarm1_off.inPort[2].node.resume out.alarm1_off.inPort[2].node.suspend out.alarm1_off.inPort[2].fire out.alarm1_off.inPort[1].checkOneDelayedTransitionPerLoop out.alarm1_off.inPort[1].node.resume out.alarm1_off.inPort[1].node.suspend out.alarm1_off.inPort[1].fire out.alarm1.entry[1].checkOneDelayedTransitionPerLoop out.alarm1.entry[1].node.resume out.alarm1.entry[1].node.suspend out.alarm1.entry[1].fire out.alarm1.resume[3].checkOneDelayedTransitionPerLoop out.alarm1.resume[3].node.resume out.alarm1.resume[3].node.suspend out.alarm1.resume[3].fire out.alarm1.resume[2].checkOneDelayedTransitionPerLoop out.alarm1.resume[2].node.resume out.alarm1.resume[2].node.suspend out.alarm1.resume[2].fire out.alarm1.resume[1].checkOneDelayedTransitionPerLoop out.alarm1.resume[1].node.resume out.alarm1.resume[1].node.suspend out.alarm1.resume[1].fire out.alarm1.suspend[2].checkOneDelayedTransitionPerLoop out.alarm1.suspend[2].node.resume out.alarm1.suspend[2].node.suspend out.alarm1.suspend[2].fire out.alarm1.suspend[2].available out.alarm1.suspend[1].checkOneDelayedTransitionPerLoop out.alarm1.suspend[1].node.resume out.alarm1.suspend[1].node.suspend out.alarm1.suspend[1].fire out.alarm1.suspend[1].available out.alarm1.oldActive out.alarm1.local_entry[1].checkOneDelayedTransitionPerLoop out.alarm1.local_entry[1].node.resume out.alarm1.local_entry[1].node.suspend out.alarm1.local_entry[1].fire out.alarm1.local_resume[3].checkOneDelayedTransitionPerLoop out.alarm1.local_resume[3].node.resume out.alarm1.local_resume[3].node.suspend out.alarm1.local_resume[3].fire out.alarm1.local_resume[2].checkOneDelayedTransitionPerLoop out.alarm1.local_resume[2].node.resume out.alarm1.local_resume[2].node.suspend out.alarm1.local_resume[2].fire out.alarm1.local_resume[1].checkOneDelayedTransitionPerLoop out.alarm1.local_resume[1].node.resume out.alarm1.local_resume[1].node.suspend out.alarm1.local_resume[1].fire out.alarm1.local_suspend[2].checkOneDelayedTransitionPerLoop out.alarm1.local_suspend[2].node.resume out.alarm1.local_suspend[2].node.suspend out.alarm1.local_suspend[2].fire out.alarm1.local_suspend[2].available out.alarm1.local_suspend[1].checkOneDelayedTransitionPerLoop out.alarm1.local_suspend[1].node.resume out.alarm1.local_suspend[1].node.suspend out.alarm1.local_suspend[1].fire out.alarm1.local_suspend[1].available out.alarm1.entry_restart[1] out.alarm1.entryIndices[1] out.alarm1.firstActive out.alarm1.checkOneDelayedTransitionPerLoopIn out.alarm1.entry_fire out.alarm1.resume_fire out.alarm1.suspend_fire out.alarm1.outport_fire out.alarm1.inport_fire out.alarm1.finished out.alarm1.newActive out.alarm1.connectorNode.node.resume out.alarm1.connectorNode.node.suspend out.alarm1.active out.chime_on_d.localCondition out.chime_on_d.enableFire out.chime_on_d.fire out.chime_on_d.conditionPort out.chime_on_d.outPort.node.resume out.chime_on_d.outPort.node.suspend out.chime_on_d.outPort.fire out.chime_on_d.inPort.node.resume out.chime_on_d.inPort.node.suspend out.chime_on_d.inPort.fire out.chime_on_d.inPort.available out.chime_on.outport_fire out.chime_on.inport_fire out.chime_on.connectorNode.node.resume out.chime_on.connectorNode.node.suspend out.chime_on.oldActive out.chime_on.newActive out.chime_on.outPort[1].node.resume out.chime_on.outPort[1].node.suspend out.chime_on.outPort[1].fire out.chime_on.outPort[1].available out.chime_on.inPort[1].node.resume out.chime_on.inPort[1].node.suspend out.chime_on.inPort[1].fire out.chime_off_d.localCondition out.chime_off_d.enableFire out.chime_off_d.fire out.chime_off_d.conditionPort out.chime_off_d.outPort.node.resume out.chime_off_d.outPort.node.suspend out.chime_off_d.outPort.fire out.chime_off_d.inPort.node.resume out.chime_off_d.inPort.node.suspend out.chime_off_d.inPort.fire out.chime_off_d.inPort.available out.chime_off.outport_fire out.chime_off.inport_fire out.chime_off.connectorNode.node.resume out.chime_off.connectorNode.node.suspend out.chime_off.oldActive out.chime_off.newActive out.chime_off.outPort[1].node.resume out.chime_off.outPort[1].node.suspend out.chime_off.outPort[1].fire out.chime_off.outPort[1].available out.chime_off.inPort[2].node.resume out.chime_off.inPort[2].node.suspend out.chime_off.inPort[2].fire out.chime_off.inPort[1].node.resume out.chime_off.inPort[1].node.suspend out.chime_off.inPort[1].fire out.chime.entry[1].node.resume out.chime.entry[1].node.suspend out.chime.entry[1].fire out.chime.resume[1].node.resume out.chime.resume[1].node.suspend out.chime.resume[1].fire out.chime.suspend[1].node.resume out.chime.suspend[1].node.suspend out.chime.suspend[1].fire out.chime.suspend[1].available out.chime.oldActive out.chime.local_entry[1].node.resume out.chime.local_entry[1].node.suspend out.chime.local_entry[1].fire out.chime.local_resume[1].node.resume out.chime.local_resume[1].node.suspend out.chime.local_resume[1].fire out.chime.local_suspend[1].node.resume out.chime.local_suspend[1].node.suspend out.chime.local_suspend[1].fire out.chime.local_suspend[1].available out.chime.entry_restart[1] out.chime.entryIndices[1] out.chime.firstActive out.chime.entry_fire out.chime.resume_fire out.chime.suspend_fire out.chime.outport_fire out.chime.inport_fire out.chime.finished out.chime.newActive out.chime.connectorNode.node.resume out.chime.connectorNode.node.suspend out.chime.active out.exit[1].node.resume out.exit[1].node.suspend out.exit[1].fire out.exit[1].available out.entry[1].checkOneDelayedTransitionPerLoop out.entry[1].node.resume out.entry[1].node.suspend out.entry[1].fire out.suspend[1].checkOneDelayedTransitionPerLoop out.suspend[1].node.resume out.suspend[1].node.suspend out.suspend[1].fire out.suspend[1].available out.outPort[1].node.resume out.outPort[1].node.suspend out.outPort[1].fire out.outPort[1].available out.inPort[1].checkOneDelayedTransitionPerLoop out.inPort[1].node.resume out.inPort[1].node.suspend out.inPort[1].fire out.oldActive out.local_exit[1].node.resume out.local_exit[1].node.suspend out.local_exit[1].fire out.local_exit[1].available out.local_entry[1].checkOneDelayedTransitionPerLoop out.local_entry[1].node.resume out.local_entry[1].node.suspend out.local_entry[1].fire out.local_suspend[1].checkOneDelayedTransitionPerLoop out.local_suspend[1].node.resume out.local_suspend[1].node.suspend out.local_suspend[1].fire out.local_suspend[1].available out.local_outPort[1].node.resume out.local_outPort[1].node.suspend out.local_outPort[1].fire out.local_outPort[1].available out.local_inPort[1].checkOneDelayedTransitionPerLoop out.local_inPort[1].node.resume out.local_inPort[1].node.suspend out.local_inPort[1].fire out.entry_restart[1] out.entryIndices[1] out.checkOneDelayedTransitionPerLoopIn out.entry_fire out.resume_fire out.suspend_fire out.outport_fire out.inport_fire out.finished out.newActive out.connectorNode.node.resume out.connectorNode.node.suspend out.active regular.edge2.y regular.edge2.u regular.pre2.y regular.pre2.u regular.bus_node3.d regular.bus_node3.c regular.bus_node3.b regular.bus_node3.a regular.day_setting.local_set regular.day_setting.local_reset regular.day_setting.reset regular.day_setting.u regular.day_setting.y regular.day_quantity.firstActiveIndex regular.day_quantity.y regular.day_quantity.u[1] regular.day_quantity.expr[1] regular.year_setting.local_set regular.year_setting.local_reset regular.year_setting.reset regular.year_setting.u regular.year_setting.y regular.year_quantity.firstActiveIndex regular.year_quantity.y regular.year_quantity.u[1] regular.year_quantity.expr[1] regular.mode_setting.local_set regular.mode_setting.local_reset regular.mode_setting.reset regular.mode_setting.u regular.mode_setting.y regular.mode_quantity.firstActiveIndex regular.mode_quantity.y regular.mode_quantity.u[1] regular.mode_quantity.expr[1] regular.date_setting.local_set regular.date_setting.local_reset regular.date_setting.reset regular.date_setting.u regular.date_setting.y regular.date_quantity.firstActiveIndex regular.date_quantity.y regular.date_quantity.u[2] regular.date_quantity.u[1] regular.date_quantity.expr[2] regular.date_quantity.expr[1] regular.show_date.y regular.show_date.u[3] regular.show_date.u[2] regular.show_date.u[1] regular.show_time.y regular.show_time.u[5] regular.show_time.u[4] regular.show_time.u[3] regular.show_time.u[2] regular.show_time.u[1] regular.time_setting.local_set regular.time_setting.local_reset regular.time_setting.reset regular.time_setting.u regular.time_setting.y regular.pre1.y regular.pre1.u regular.T2.localCondition regular.T2.enableFire regular.T2.fire regular.T2.conditionPort regular.T2.outPort.checkOneDelayedTransitionPerLoop regular.T2.outPort.node.resume regular.T2.outPort.node.suspend regular.T2.outPort.fire regular.T2.inPort.checkOneDelayedTransitionPerLoop regular.T2.inPort.node.resume regular.T2.inPort.node.suspend regular.T2.inPort.fire regular.T2.inPort.available regular.not5.y regular.not5.u regular.not4.y regular.not4.u regular.bus_node2.d regular.bus_node2.c regular.bus_node2.b regular.bus_node2.a regular.bus_node1.d regular.bus_node1.c regular.bus_node1.b regular.bus_node1.a regular.not3.y regular.not3.u regular.not2.y regular.not2.u regular.not1.y regular.not1.u regular.edge1.y regular.edge1.u regular.and1.y regular.and1.u2 regular.and1.u1 regular.date_d.localCondition regular.date_d.enableFire regular.date_d.fire regular.date_d.conditionPort regular.date_d.outPort.checkOneDelayedTransitionPerLoop regular.date_d.outPort.node.resume regular.date_d.outPort.node.suspend regular.date_d.outPort.fire regular.date_d.inPort.checkOneDelayedTransitionPerLoop regular.date_d.inPort.node.resume regular.date_d.inPort.node.suspend regular.date_d.inPort.fire regular.date_d.inPort.available regular.two_min_in_date.t_start regular.two_min_in_date.enableFire regular.two_min_in_date.fire regular.two_min_in_date.outPort.checkOneDelayedTransitionPerLoop regular.two_min_in_date.outPort.node.resume regular.two_min_in_date.outPort.node.suspend regular.two_min_in_date.outPort.fire regular.two_min_in_date.inPort.checkOneDelayedTransitionPerLoop regular.two_min_in_date.inPort.node.resume regular.two_min_in_date.inPort.node.suspend regular.two_min_in_date.inPort.fire regular.two_min_in_date.inPort.available regular.time_d_b_up.localCondition regular.time_d_b_up.enableFire regular.time_d_b_up.fire regular.time_d_b_up.conditionPort regular.time_d_b_up.outPort.node.resume regular.time_d_b_up.outPort.node.suspend regular.time_d_b_up.outPort.fire regular.time_d_b_up.inPort.checkOneDelayedTransitionPerLoop regular.time_d_b_up.inPort.node.resume regular.time_d_b_up.inPort.node.suspend regular.time_d_b_up.inPort.fire regular.time_d_b_up.inPort.available regular.date_.outport_fire regular.date_.inport_fire regular.date_.connectorNode.node.resume regular.date_.connectorNode.node.suspend regular.date_.oldActive regular.date_.newActive regular.date_.outPort[2].checkOneDelayedTransitionPerLoop regular.date_.outPort[2].node.resume regular.date_.outPort[2].node.suspend regular.date_.outPort[2].fire regular.date_.outPort[2].available regular.date_.outPort[1].checkOneDelayedTransitionPerLoop regular.date_.outPort[1].node.resume regular.date_.outPort[1].node.suspend regular.date_.outPort[1].fire regular.date_.outPort[1].available regular.date_.inPort[1].node.resume regular.date_.inPort[1].node.suspend regular.date_.inPort[1].fire regular.OI_d_up.localCondition regular.OI_d_up.t_start regular.OI_d_up.enableFire regular.OI_d_up.fire regular.OI_d_up.conditionPort regular.OI_d_up.outPort.checkOneDelayedTransitionPerLoop regular.OI_d_up.outPort.node.resume regular.OI_d_up.outPort.node.suspend regular.OI_d_up.outPort.fire regular.OI_d_up.inPort.checkOneDelayedTransitionPerLoop regular.OI_d_up.inPort.node.resume regular.OI_d_up.inPort.node.suspend regular.OI_d_up.inPort.fire regular.OI_d_up.inPort.available regular.IO_b_up.localCondition regular.IO_b_up.t_start regular.IO_b_up.enableFire regular.IO_b_up.fire regular.IO_b_up.conditionPort regular.IO_b_up.outPort.checkOneDelayedTransitionPerLoop regular.IO_b_up.outPort.node.resume regular.IO_b_up.outPort.node.suspend regular.IO_b_up.outPort.fire regular.IO_b_up.inPort.checkOneDelayedTransitionPerLoop regular.IO_b_up.inPort.node.resume regular.IO_b_up.inPort.node.suspend regular.IO_b_up.inPort.fire regular.IO_b_up.inPort.available regular.beep_b_up.localCondition regular.beep_b_up.t_start regular.beep_b_up.enableFire regular.beep_b_up.fire regular.beep_b_up.conditionPort regular.beep_b_up.outPort.checkOneDelayedTransitionPerLoop regular.beep_b_up.outPort.node.resume regular.beep_b_up.outPort.node.suspend regular.beep_b_up.outPort.fire regular.beep_b_up.inPort.checkOneDelayedTransitionPerLoop regular.beep_b_up.inPort.node.resume regular.beep_b_up.inPort.node.suspend regular.beep_b_up.inPort.fire regular.beep_b_up.inPort.available regular.beep_d_up.localCondition regular.beep_d_up.t_start regular.beep_d_up.enableFire regular.beep_d_up.fire regular.beep_d_up.conditionPort regular.beep_d_up.outPort.checkOneDelayedTransitionPerLoop regular.beep_d_up.outPort.node.resume regular.beep_d_up.outPort.node.suspend regular.beep_d_up.outPort.fire regular.beep_d_up.inPort.checkOneDelayedTransitionPerLoop regular.beep_d_up.inPort.node.resume regular.beep_d_up.inPort.node.suspend regular.beep_d_up.inPort.fire regular.beep_d_up.inPort.available regular.OI_b_down.localCondition regular.OI_b_down.enableFire regular.OI_b_down.fire regular.OI_b_down.conditionPort regular.OI_b_down.outPort.checkOneDelayedTransitionPerLoop regular.OI_b_down.outPort.node.resume regular.OI_b_down.outPort.node.suspend regular.OI_b_down.outPort.fire regular.OI_b_down.inPort.checkOneDelayedTransitionPerLoop regular.OI_b_down.inPort.node.resume regular.OI_b_down.inPort.node.suspend regular.OI_b_down.inPort.fire regular.OI_b_down.inPort.available regular.IO_d_down.localCondition regular.IO_d_down.enableFire regular.IO_d_down.fire regular.IO_d_down.conditionPort regular.IO_d_down.outPort.checkOneDelayedTransitionPerLoop regular.IO_d_down.outPort.node.resume regular.IO_d_down.outPort.node.suspend regular.IO_d_down.outPort.fire regular.IO_d_down.inPort.checkOneDelayedTransitionPerLoop regular.IO_d_down.inPort.node.resume regular.IO_d_down.inPort.node.suspend regular.IO_d_down.inPort.fire regular.IO_d_down.inPort.available regular.beep.outport_fire regular.beep.inport_fire regular.beep.connectorNode.node.resume regular.beep.connectorNode.node.suspend regular.beep.oldActive regular.beep.newActive regular.beep.active regular.beep.outPort[2].checkOneDelayedTransitionPerLoop regular.beep.outPort[2].node.resume regular.beep.outPort[2].node.suspend regular.beep.outPort[2].fire regular.beep.outPort[2].available regular.beep.outPort[1].checkOneDelayedTransitionPerLoop regular.beep.outPort[1].node.resume regular.beep.outPort[1].node.suspend regular.beep.outPort[1].fire regular.beep.outPort[1].available regular.beep.inPort[2].checkOneDelayedTransitionPerLoop regular.beep.inPort[2].node.resume regular.beep.inPort[2].node.suspend regular.beep.inPort[2].fire regular.beep.inPort[1].checkOneDelayedTransitionPerLoop regular.beep.inPort[1].node.resume regular.beep.inPort[1].node.suspend regular.beep.inPort[1].fire regular.OI.outport_fire regular.OI.inport_fire regular.OI.connectorNode.node.resume regular.OI.connectorNode.node.suspend regular.OI.oldActive regular.OI.newActive regular.OI.active regular.OI.outPort[2].checkOneDelayedTransitionPerLoop regular.OI.outPort[2].node.resume regular.OI.outPort[2].node.suspend regular.OI.outPort[2].fire regular.OI.outPort[2].available regular.OI.outPort[1].checkOneDelayedTransitionPerLoop regular.OI.outPort[1].node.resume regular.OI.outPort[1].node.suspend regular.OI.outPort[1].fire regular.OI.outPort[1].available regular.OI.inPort[2].checkOneDelayedTransitionPerLoop regular.OI.inPort[2].node.resume regular.OI.inPort[2].node.suspend regular.OI.inPort[2].fire regular.OI.inPort[1].checkOneDelayedTransitionPerLoop regular.OI.inPort[1].node.resume regular.OI.inPort[1].node.suspend regular.OI.inPort[1].fire regular.OO_d_down.localCondition regular.OO_d_down.enableFire regular.OO_d_down.fire regular.OO_d_down.conditionPort regular.OO_d_down.outPort.checkOneDelayedTransitionPerLoop regular.OO_d_down.outPort.node.resume regular.OO_d_down.outPort.node.suspend regular.OO_d_down.outPort.fire regular.OO_d_down.inPort.checkOneDelayedTransitionPerLoop regular.OO_d_down.inPort.node.resume regular.OO_d_down.inPort.node.suspend regular.OO_d_down.inPort.fire regular.OO_d_down.inPort.available regular.OO_b_down.localCondition regular.OO_b_down.enableFire regular.OO_b_down.fire regular.OO_b_down.conditionPort regular.OO_b_down.outPort.checkOneDelayedTransitionPerLoop regular.OO_b_down.outPort.node.resume regular.OO_b_down.outPort.node.suspend regular.OO_b_down.outPort.fire regular.OO_b_down.inPort.checkOneDelayedTransitionPerLoop regular.OO_b_down.inPort.node.resume regular.OO_b_down.inPort.node.suspend regular.OO_b_down.inPort.fire regular.OO_b_down.inPort.available regular.OO.outport_fire regular.OO.inport_fire regular.OO.connectorNode.node.resume regular.OO.connectorNode.node.suspend regular.OO.oldActive regular.OO.newActive regular.OO.active regular.OO.outPort[2].checkOneDelayedTransitionPerLoop regular.OO.outPort[2].node.resume regular.OO.outPort[2].node.suspend regular.OO.outPort[2].fire regular.OO.outPort[2].available regular.OO.outPort[1].checkOneDelayedTransitionPerLoop regular.OO.outPort[1].node.resume regular.OO.outPort[1].node.suspend regular.OO.outPort[1].fire regular.OO.outPort[1].available regular.OO.inPort[3].checkOneDelayedTransitionPerLoop regular.OO.inPort[3].node.resume regular.OO.inPort[3].node.suspend regular.OO.inPort[3].fire regular.OO.inPort[2].checkOneDelayedTransitionPerLoop regular.OO.inPort[2].node.resume regular.OO.inPort[2].node.suspend regular.OO.inPort[2].fire regular.OO.inPort[1].checkOneDelayedTransitionPerLoop regular.OO.inPort[1].node.resume regular.OO.inPort[1].node.suspend regular.OO.inPort[1].fire regular.IO.outport_fire regular.IO.inport_fire regular.IO.connectorNode.node.resume regular.IO.connectorNode.node.suspend regular.IO.oldActive regular.IO.newActive regular.IO.active regular.IO.outPort[2].checkOneDelayedTransitionPerLoop regular.IO.outPort[2].node.resume regular.IO.outPort[2].node.suspend regular.IO.outPort[2].fire regular.IO.outPort[2].available regular.IO.outPort[1].checkOneDelayedTransitionPerLoop regular.IO.outPort[1].node.resume regular.IO.outPort[1].node.suspend regular.IO.outPort[1].fire regular.IO.outPort[1].available regular.IO.inPort[2].checkOneDelayedTransitionPerLoop regular.IO.inPort[2].node.resume regular.IO.inPort[2].node.suspend regular.IO.inPort[2].fire regular.IO.inPort[1].checkOneDelayedTransitionPerLoop regular.IO.inPort[1].node.resume regular.IO.inPort[1].node.suspend regular.IO.inPort[1].fire regular.two_min_in_update.t_start regular.two_min_in_update.enableFire regular.two_min_in_update.fire regular.two_min_in_update.outPort.checkOneDelayedTransitionPerLoop regular.two_min_in_update.outPort.node.resume regular.two_min_in_update.outPort.node.suspend regular.two_min_in_update.outPort.fire regular.two_min_in_update.inPort.checkOneDelayedTransitionPerLoop regular.two_min_in_update.inPort.node.resume regular.two_min_in_update.inPort.node.suspend regular.two_min_in_update.inPort.fire regular.two_min_in_update.inPort.available regular.date.outport_fire regular.date.inport_fire regular.date.connectorNode.node.resume regular.date.connectorNode.node.suspend regular.date.oldActive regular.date.newActive regular.date.outPort[1].node.resume regular.date.outPort[1].node.suspend regular.date.outPort[1].fire regular.date.outPort[1].available regular.date.inPort[1].node.resume regular.date.inPort[1].node.suspend regular.date.inPort[1].fire regular.perform_increment.localCondition regular.perform_increment.enableFire regular.perform_increment.conditionPort regular.perform_increment.outPort.checkOneDelayedTransitionPerLoop regular.perform_increment.outPort.node.resume regular.perform_increment.outPort.node.suspend regular.perform_increment.inPort.checkOneDelayedTransitionPerLoop regular.perform_increment.inPort.node.resume regular.perform_increment.inPort.node.suspend regular.perform_increment.inPort.available regular.time_quantity.firstActiveIndex regular.time_quantity.y regular.time_quantity.u[4] regular.time_quantity.u[3] regular.time_quantity.u[2] regular.time_quantity.u[1] regular.time_quantity.expr[4] regular.time_quantity.expr[3] regular.time_quantity.expr[2] regular.time_quantity.expr[1] regular.year_c.localCondition regular.year_c.enableFire regular.year_c.fire regular.year_c.conditionPort regular.year_c.outPort.node.resume regular.year_c.outPort.node.suspend regular.year_c.outPort.fire regular.year_c.inPort.node.resume regular.year_c.inPort.node.suspend regular.year_c.inPort.fire regular.year_c.inPort.available regular.day_c.localCondition regular.day_c.enableFire regular.day_c.fire regular.day_c.conditionPort regular.day_c.outPort.node.resume regular.day_c.outPort.node.suspend regular.day_c.outPort.fire regular.day_c.inPort.node.resume regular.day_c.inPort.node.suspend regular.day_c.inPort.fire regular.day_c.inPort.available regular.mode.outport_fire regular.mode.inport_fire regular.mode.connectorNode.node.resume regular.mode.connectorNode.node.suspend regular.mode.oldActive regular.mode.newActive regular.mode.outPort[1].checkOneDelayedTransitionPerLoop regular.mode.outPort[1].node.resume regular.mode.outPort[1].node.suspend regular.mode.outPort[1].fire regular.mode.outPort[1].available regular.mode.inPort[1].node.resume regular.mode.inPort[1].node.suspend regular.mode.inPort[1].fire regular.year.outport_fire regular.year.inport_fire regular.year.connectorNode.node.resume regular.year.connectorNode.node.suspend regular.year.oldActive regular.year.newActive regular.year.outPort[1].node.resume regular.year.outPort[1].node.suspend regular.year.outPort[1].fire regular.year.outPort[1].available regular.year.inPort[1].node.resume regular.year.inPort[1].node.suspend regular.year.inPort[1].fire regular.day.outport_fire regular.day.inport_fire regular.day.connectorNode.node.resume regular.day.connectorNode.node.suspend regular.day.oldActive regular.day.newActive regular.day.outPort[1].node.resume regular.day.outPort[1].node.suspend regular.day.outPort[1].fire regular.day.outPort[1].available regular.day.inPort[1].node.resume regular.day.inPort[1].node.suspend regular.day.inPort[1].fire regular.date_c.localCondition regular.date_c.enableFire regular.date_c.fire regular.date_c.conditionPort regular.date_c.outPort.node.resume regular.date_c.outPort.node.suspend regular.date_c.outPort.fire regular.date_c.inPort.node.resume regular.date_c.inPort.node.suspend regular.date_c.inPort.fire regular.date_c.inPort.available regular.month_c.localCondition regular.month_c.enableFire regular.month_c.fire regular.month_c.conditionPort regular.month_c.outPort.node.resume regular.month_c.outPort.node.suspend regular.month_c.outPort.fire regular.month_c.inPort.node.resume regular.month_c.inPort.node.suspend regular.month_c.inPort.fire regular.month_c.inPort.available regular.hour_c.localCondition regular.hour_c.enableFire regular.hour_c.fire regular.hour_c.conditionPort regular.hour_c.outPort.node.resume regular.hour_c.outPort.node.suspend regular.hour_c.outPort.fire regular.hour_c.inPort.node.resume regular.hour_c.inPort.node.suspend regular.hour_c.inPort.fire regular.hour_c.inPort.available regular.month.outport_fire regular.month.inport_fire regular.month.connectorNode.node.resume regular.month.connectorNode.node.suspend regular.month.oldActive regular.month.newActive regular.month.outPort[1].node.resume regular.month.outPort[1].node.suspend regular.month.outPort[1].fire regular.month.outPort[1].available regular.month.inPort[1].node.resume regular.month.inPort[1].node.suspend regular.month.inPort[1].fire regular.hour.outport_fire regular.hour.inport_fire regular.hour.connectorNode.node.resume regular.hour.connectorNode.node.suspend regular.hour.oldActive regular.hour.newActive regular.hour.outPort[1].node.resume regular.hour.outPort[1].node.suspend regular.hour.outPort[1].fire regular.hour.outPort[1].available regular.hour.inPort[1].node.resume regular.hour.inPort[1].node.suspend regular.hour.inPort[1].fire regular.ten_minutes_c.localCondition regular.ten_minutes_c.enableFire regular.ten_minutes_c.fire regular.ten_minutes_c.conditionPort regular.ten_minutes_c.outPort.node.resume regular.ten_minutes_c.outPort.node.suspend regular.ten_minutes_c.outPort.fire regular.ten_minutes_c.inPort.node.resume regular.ten_minutes_c.inPort.node.suspend regular.ten_minutes_c.inPort.fire regular.ten_minutes_c.inPort.available regular.minute_c.localCondition regular.minute_c.enableFire regular.minute_c.fire regular.minute_c.conditionPort regular.minute_c.outPort.node.resume regular.minute_c.outPort.node.suspend regular.minute_c.outPort.fire regular.minute_c.inPort.node.resume regular.minute_c.inPort.node.suspend regular.minute_c.inPort.fire regular.minute_c.inPort.available regular.second_c.localCondition regular.second_c.enableFire regular.second_c.fire regular.second_c.conditionPort regular.second_c.outPort.node.resume regular.second_c.outPort.node.suspend regular.second_c.outPort.fire regular.second_c.inPort.node.resume regular.second_c.inPort.node.suspend regular.second_c.inPort.fire regular.second_c.inPort.available regular.ten_minutes.outport_fire regular.ten_minutes.inport_fire regular.ten_minutes.connectorNode.node.resume regular.ten_minutes.connectorNode.node.suspend regular.ten_minutes.oldActive regular.ten_minutes.newActive regular.ten_minutes.outPort[1].node.resume regular.ten_minutes.outPort[1].node.suspend regular.ten_minutes.outPort[1].fire regular.ten_minutes.outPort[1].available regular.ten_minutes.inPort[1].node.resume regular.ten_minutes.inPort[1].node.suspend regular.ten_minutes.inPort[1].fire regular.minute.outport_fire regular.minute.inport_fire regular.minute.connectorNode.node.resume regular.minute.connectorNode.node.suspend regular.minute.oldActive regular.minute.newActive regular.minute.outPort[1].node.resume regular.minute.outPort[1].node.suspend regular.minute.outPort[1].fire regular.minute.outPort[1].available regular.minute.inPort[1].node.resume regular.minute.inPort[1].node.suspend regular.minute.inPort[1].fire regular.second.outport_fire regular.second.inport_fire regular.second.connectorNode.node.resume regular.second.connectorNode.node.suspend regular.second.oldActive regular.second.newActive regular.second.outPort[1].node.resume regular.second.outPort[1].node.suspend regular.second.outPort[1].fire regular.second.outPort[1].available regular.second.inPort[1].checkOneDelayedTransitionPerLoop regular.second.inPort[1].node.resume regular.second.inPort[1].node.suspend regular.second.inPort[1].fire regular.mode_c.localCondition regular.mode_c.enableFire regular.mode_c.fire regular.mode_c.conditionPort regular.mode_c.outPort.checkOneDelayedTransitionPerLoop regular.mode_c.outPort.node.resume regular.mode_c.outPort.node.suspend regular.mode_c.outPort.fire regular.mode_c.inPort.checkOneDelayedTransitionPerLoop regular.mode_c.inPort.node.resume regular.mode_c.inPort.node.suspend regular.mode_c.inPort.fire regular.mode_c.inPort.available regular.time_c_down.localCondition regular.time_c_down.enableFire regular.time_c_down.fire regular.time_c_down.conditionPort regular.time_c_down.outPort.checkOneDelayedTransitionPerLoop regular.time_c_down.outPort.node.resume regular.time_c_down.outPort.node.suspend regular.time_c_down.outPort.fire regular.time_c_down.inPort.checkOneDelayedTransitionPerLoop regular.time_c_down.inPort.node.resume regular.time_c_down.inPort.node.suspend regular.time_c_down.inPort.fire regular.time_c_down.inPort.available regular.update.exit[1].checkOneDelayedTransitionPerLoop regular.update.exit[1].node.resume regular.update.exit[1].node.suspend regular.update.exit[1].fire regular.update.exit[1].available regular.update.entry[1].checkOneDelayedTransitionPerLoop regular.update.entry[1].node.resume regular.update.entry[1].node.suspend regular.update.entry[1].fire regular.update.resume[1].checkOneDelayedTransitionPerLoop regular.update.resume[1].node.resume regular.update.resume[1].node.suspend regular.update.suspend[3].checkOneDelayedTransitionPerLoop regular.update.suspend[3].node.resume regular.update.suspend[3].node.suspend regular.update.suspend[3].available regular.update.suspend[2].checkOneDelayedTransitionPerLoop regular.update.suspend[2].node.resume regular.update.suspend[2].node.suspend regular.update.suspend[2].fire regular.update.suspend[2].available regular.update.suspend[1].checkOneDelayedTransitionPerLoop regular.update.suspend[1].node.resume regular.update.suspend[1].node.suspend regular.update.suspend[1].fire regular.update.suspend[1].available regular.update.outPort[1].checkOneDelayedTransitionPerLoop regular.update.outPort[1].node.resume regular.update.outPort[1].node.suspend regular.update.outPort[1].fire regular.update.outPort[1].available regular.update.inPort[1].checkOneDelayedTransitionPerLoop regular.update.inPort[1].node.resume regular.update.inPort[1].node.suspend regular.update.inPort[1].fire regular.update.oldActive regular.update.local_exit[1].checkOneDelayedTransitionPerLoop regular.update.local_exit[1].node.resume regular.update.local_exit[1].node.suspend regular.update.local_exit[1].fire regular.update.local_exit[1].available regular.update.local_entry[1].checkOneDelayedTransitionPerLoop regular.update.local_entry[1].node.resume regular.update.local_entry[1].node.suspend regular.update.local_entry[1].fire regular.update.local_resume[1].checkOneDelayedTransitionPerLoop regular.update.local_resume[1].node.resume regular.update.local_resume[1].node.suspend regular.update.local_suspend[3].checkOneDelayedTransitionPerLoop regular.update.local_suspend[3].node.resume regular.update.local_suspend[3].node.suspend regular.update.local_suspend[3].available regular.update.local_suspend[2].checkOneDelayedTransitionPerLoop regular.update.local_suspend[2].node.resume regular.update.local_suspend[2].node.suspend regular.update.local_suspend[2].fire regular.update.local_suspend[2].available regular.update.local_suspend[1].checkOneDelayedTransitionPerLoop regular.update.local_suspend[1].node.resume regular.update.local_suspend[1].node.suspend regular.update.local_suspend[1].fire regular.update.local_suspend[1].available regular.update.local_outPort[1].checkOneDelayedTransitionPerLoop regular.update.local_outPort[1].node.resume regular.update.local_outPort[1].node.suspend regular.update.local_outPort[1].fire regular.update.local_outPort[1].available regular.update.local_inPort[1].checkOneDelayedTransitionPerLoop regular.update.local_inPort[1].node.resume regular.update.local_inPort[1].node.suspend regular.update.local_inPort[1].fire regular.update.entry_restart[1] regular.update.entryIndices[1] regular.update.firstActive regular.update.checkOneDelayedTransitionPerLoopIn regular.update.entry_fire regular.update.resume_fire regular.update.suspend_fire regular.update.outport_fire regular.update.inport_fire regular.update.finished regular.update.newActive regular.update.connectorNode.node.resume regular.update.connectorNode.node.suspend regular.update.active regular.time_.outport_fire regular.time_.inport_fire regular.time_.connectorNode.node.resume regular.time_.connectorNode.node.suspend regular.time_.oldActive regular.time_.newActive regular.time_.outPort[3].checkOneDelayedTransitionPerLoop regular.time_.outPort[3].node.resume regular.time_.outPort[3].node.suspend regular.time_.outPort[3].fire regular.time_.outPort[3].available regular.time_.outPort[2].checkOneDelayedTransitionPerLoop regular.time_.outPort[2].node.resume regular.time_.outPort[2].node.suspend regular.time_.outPort[2].fire regular.time_.outPort[2].available regular.time_.outPort[1].checkOneDelayedTransitionPerLoop regular.time_.outPort[1].node.resume regular.time_.outPort[1].node.suspend regular.time_.outPort[1].fire regular.time_.outPort[1].available regular.time_.inPort[6].checkOneDelayedTransitionPerLoop regular.time_.inPort[6].node.resume regular.time_.inPort[6].node.suspend regular.time_.inPort[6].fire regular.time_.inPort[5].checkOneDelayedTransitionPerLoop regular.time_.inPort[5].node.resume regular.time_.inPort[5].node.suspend regular.time_.inPort[5].fire regular.time_.inPort[4].checkOneDelayedTransitionPerLoop regular.time_.inPort[4].node.resume regular.time_.inPort[4].node.suspend regular.time_.inPort[4].fire regular.time_.inPort[3].checkOneDelayedTransitionPerLoop regular.time_.inPort[3].node.resume regular.time_.inPort[3].node.suspend regular.time_.inPort[3].fire regular.time_.inPort[2].checkOneDelayedTransitionPerLoop regular.time_.inPort[2].node.resume regular.time_.inPort[2].node.suspend regular.time_.inPort[2].fire regular.time_.inPort[1].checkOneDelayedTransitionPerLoop regular.time_.inPort[1].node.resume regular.time_.inPort[1].node.suspend regular.time_.inPort[1].fire regular.exit[1].checkOneDelayedTransitionPerLoop regular.exit[1].node.resume regular.exit[1].node.suspend regular.exit[1].fire regular.exit[1].available regular.entry[2].checkOneDelayedTransitionPerLoop regular.entry[2].node.resume regular.entry[2].node.suspend regular.entry[2].fire regular.entry[1].checkOneDelayedTransitionPerLoop regular.entry[1].node.resume regular.entry[1].node.suspend regular.entry[1].fire regular.suspend[1].checkOneDelayedTransitionPerLoop regular.suspend[1].node.resume regular.suspend[1].node.suspend regular.suspend[1].fire regular.suspend[1].available regular.resume[1].checkOneDelayedTransitionPerLoop regular.resume[1].node.resume regular.resume[1].node.suspend regular.resume[1].fire regular.outPort[1].checkOneDelayedTransitionPerLoop regular.outPort[1].node.resume regular.outPort[1].node.suspend regular.outPort[1].fire regular.outPort[1].available regular.inPort[4].checkOneDelayedTransitionPerLoop regular.inPort[4].node.resume regular.inPort[4].node.suspend regular.inPort[4].fire regular.inPort[3].checkOneDelayedTransitionPerLoop regular.inPort[3].node.resume regular.inPort[3].node.suspend regular.inPort[3].fire regular.inPort[2].checkOneDelayedTransitionPerLoop regular.inPort[2].node.resume regular.inPort[2].node.suspend regular.inPort[2].fire regular.inPort[1].checkOneDelayedTransitionPerLoop regular.inPort[1].node.resume regular.inPort[1].node.suspend regular.inPort[1].fire regular.oldActive regular.local_exit[1].checkOneDelayedTransitionPerLoop regular.local_exit[1].node.resume regular.local_exit[1].node.suspend regular.local_exit[1].fire regular.local_exit[1].available regular.local_entry[2].checkOneDelayedTransitionPerLoop regular.local_entry[2].node.resume regular.local_entry[2].node.suspend regular.local_entry[2].fire regular.local_entry[1].checkOneDelayedTransitionPerLoop regular.local_entry[1].node.resume regular.local_entry[1].node.suspend regular.local_entry[1].fire regular.local_resume[1].checkOneDelayedTransitionPerLoop regular.local_resume[1].node.resume regular.local_resume[1].node.suspend regular.local_resume[1].fire regular.local_suspend[1].checkOneDelayedTransitionPerLoop regular.local_suspend[1].node.resume regular.local_suspend[1].node.suspend regular.local_suspend[1].fire regular.local_suspend[1].available regular.local_outPort[1].checkOneDelayedTransitionPerLoop regular.local_outPort[1].node.resume regular.local_outPort[1].node.suspend regular.local_outPort[1].fire regular.local_outPort[1].available regular.local_inPort[4].checkOneDelayedTransitionPerLoop regular.local_inPort[4].node.resume regular.local_inPort[4].node.suspend regular.local_inPort[4].fire regular.local_inPort[3].checkOneDelayedTransitionPerLoop regular.local_inPort[3].node.resume regular.local_inPort[3].node.suspend regular.local_inPort[3].fire regular.local_inPort[2].checkOneDelayedTransitionPerLoop regular.local_inPort[2].node.resume regular.local_inPort[2].node.suspend regular.local_inPort[2].fire regular.local_inPort[1].checkOneDelayedTransitionPerLoop regular.local_inPort[1].node.resume regular.local_inPort[1].node.suspend regular.local_inPort[1].fire regular.entry_restart[2] regular.entry_restart[1] regular.entryIndices[2] regular.entryIndices[1] regular.firstActive regular.checkOneDelayedTransitionPerLoopIn regular.entry_fire regular.resume_fire regular.suspend_fire regular.outport_fire regular.inport_fire regular.finished regular.newActive regular.connectorNode.node.resume regular.connectorNode.node.suspend regular.active displays.entry[1].checkOneDelayedTransitionPerLoop displays.entry[1].node.resume displays.entry[1].node.suspend displays.entry[1].fire displays.resume[2].checkOneDelayedTransitionPerLoop displays.resume[2].node.resume displays.resume[2].node.suspend displays.resume[2].fire displays.resume[1].checkOneDelayedTransitionPerLoop displays.resume[1].node.resume displays.resume[1].node.suspend displays.resume[1].fire displays.suspend[1].checkOneDelayedTransitionPerLoop displays.suspend[1].node.resume displays.suspend[1].node.suspend displays.suspend[1].fire displays.suspend[1].available displays.inPort[1].checkOneDelayedTransitionPerLoop displays.inPort[1].node.resume displays.inPort[1].node.suspend displays.inPort[1].fire displays.oldActive displays.local_entry[1].checkOneDelayedTransitionPerLoop displays.local_entry[1].node.resume displays.local_entry[1].node.suspend displays.local_entry[1].fire displays.local_resume[2].checkOneDelayedTransitionPerLoop displays.local_resume[2].node.resume displays.local_resume[2].node.suspend displays.local_resume[2].fire displays.local_resume[1].checkOneDelayedTransitionPerLoop displays.local_resume[1].node.resume displays.local_resume[1].node.suspend displays.local_resume[1].fire displays.local_suspend[1].checkOneDelayedTransitionPerLoop displays.local_suspend[1].node.resume displays.local_suspend[1].node.suspend displays.local_suspend[1].fire displays.local_suspend[1].available displays.local_inPort[1].checkOneDelayedTransitionPerLoop displays.local_inPort[1].node.resume displays.local_inPort[1].node.suspend displays.local_inPort[1].fire displays.entry_restart[1] displays.entryIndices[1] displays.firstActive displays.checkOneDelayedTransitionPerLoopIn displays.entry_fire displays.resume_fire displays.suspend_fire displays.outport_fire displays.inport_fire displays.finished displays.newActive displays.connectorNode.node.resume displays.connectorNode.node.suspend displays.active battery_dies.localCondition battery_dies.enableFire battery_dies.fire battery_dies.conditionPort battery_dies.outPort.checkOneDelayedTransitionPerLoop battery_dies.outPort.node.resume battery_dies.outPort.node.suspend battery_dies.outPort.fire battery_dies.inPort.checkOneDelayedTransitionPerLoop battery_dies.inPort.node.resume battery_dies.inPort.node.suspend battery_dies.inPort.fire battery_dies.inPort.available power_ok_battery_weakens.localCondition power_ok_battery_weakens.enableFire power_ok_battery_weakens.fire power_ok_battery_weakens.conditionPort power_ok_battery_weakens.outPort.node.resume power_ok_battery_weakens.outPort.node.suspend power_ok_battery_weakens.outPort.fire power_ok_battery_weakens.inPort.node.resume power_ok_battery_weakens.inPort.node.suspend power_ok_battery_weakens.inPort.fire power_ok_battery_weakens.inPort.available power_blink.outport_fire power_blink.inport_fire power_blink.connectorNode.node.resume power_blink.connectorNode.node.suspend power_blink.oldActive power_blink.newActive power_blink.active power_blink.outPort[1].checkOneDelayedTransitionPerLoop power_blink.outPort[1].node.resume power_blink.outPort[1].node.suspend power_blink.outPort[1].fire power_blink.outPort[1].available power_blink.inPort[1].node.resume power_blink.inPort[1].node.suspend power_blink.inPort[1].fire power_ok.outport_fire power_ok.inport_fire power_ok.connectorNode.node.resume power_ok.connectorNode.node.suspend power_ok.oldActive power_ok.newActive power_ok.active power_ok.outPort[1].node.resume power_ok.outPort[1].node.suspend power_ok.outPort[1].fire power_ok.outPort[1].available power_ok.inPort[1].checkOneDelayedTransitionPerLoop power_ok.inPort[1].node.resume power_ok.inPort[1].node.suspend power_ok.inPort[1].fire chime_enabled_d.localCondition chime_enabled_d.enableFire chime_enabled_d.fire chime_enabled_d.conditionPort chime_enabled_d.outPort.checkOneDelayedTransitionPerLoop chime_enabled_d.outPort.node.resume chime_enabled_d.outPort.node.suspend chime_enabled_d.outPort.fire chime_enabled_d.inPort.checkOneDelayedTransitionPerLoop chime_enabled_d.inPort.node.resume chime_enabled_d.inPort.node.suspend chime_enabled_d.inPort.fire chime_enabled_d.inPort.available chime_disabled_d.localCondition chime_disabled_d.enableFire chime_disabled_d.fire chime_disabled_d.conditionPort chime_disabled_d.outPort.checkOneDelayedTransitionPerLoop chime_disabled_d.outPort.node.resume chime_disabled_d.outPort.node.suspend chime_disabled_d.outPort.fire chime_disabled_d.inPort.checkOneDelayedTransitionPerLoop chime_disabled_d.inPort.node.resume chime_disabled_d.inPort.node.suspend chime_disabled_d.inPort.fire chime_disabled_d.inPort.available chime_disabled.outport_fire chime_disabled.inport_fire chime_disabled.connectorNode.node.resume chime_disabled.connectorNode.node.suspend chime_disabled.oldActive chime_disabled.newActive chime_disabled.active chime_disabled.outPort[1].checkOneDelayedTransitionPerLoop chime_disabled.outPort[1].node.resume chime_disabled.outPort[1].node.suspend chime_disabled.outPort[1].fire chime_disabled.outPort[1].available chime_disabled.inPort[2].checkOneDelayedTransitionPerLoop chime_disabled.inPort[2].node.resume chime_disabled.inPort[2].node.suspend chime_disabled.inPort[2].fire chime_disabled.inPort[1].checkOneDelayedTransitionPerLoop chime_disabled.inPort[1].node.resume chime_disabled.inPort[1].node.suspend chime_disabled.inPort[1].fire chime_enabled.entry[1].checkOneDelayedTransitionPerLoop chime_enabled.entry[1].node.resume chime_enabled.entry[1].node.suspend chime_enabled.entry[1].fire chime_enabled.suspend[1].checkOneDelayedTransitionPerLoop chime_enabled.suspend[1].node.resume chime_enabled.suspend[1].node.suspend chime_enabled.suspend[1].fire chime_enabled.suspend[1].available chime_enabled.inPort[1].checkOneDelayedTransitionPerLoop chime_enabled.inPort[1].node.resume chime_enabled.inPort[1].node.suspend chime_enabled.inPort[1].fire chime_enabled.oldActive chime_enabled.local_entry[1].checkOneDelayedTransitionPerLoop chime_enabled.local_entry[1].node.resume chime_enabled.local_entry[1].node.suspend chime_enabled.local_entry[1].fire chime_enabled.local_suspend[1].checkOneDelayedTransitionPerLoop chime_enabled.local_suspend[1].node.resume chime_enabled.local_suspend[1].node.suspend chime_enabled.local_suspend[1].fire chime_enabled.local_suspend[1].available chime_enabled.local_inPort[1].checkOneDelayedTransitionPerLoop chime_enabled.local_inPort[1].node.resume chime_enabled.local_inPort[1].node.suspend chime_enabled.local_inPort[1].fire chime_enabled.checkOneDelayedTransitionPerLoopIn chime_enabled.entry_fire chime_enabled.resume_fire chime_enabled.suspend_fire chime_enabled.outport_fire chime_enabled.inport_fire chime_enabled.finished chime_enabled.newActive chime_enabled.connectorNode.node.resume chime_enabled.connectorNode.node.suspend chime_enabled.active two_secs_in_chime_enabled_beeps.t_start two_secs_in_chime_enabled_beeps.enableFire two_secs_in_chime_enabled_beeps.fire two_secs_in_chime_enabled_beeps.outPort.checkOneDelayedTransitionPerLoop two_secs_in_chime_enabled_beeps.outPort.node.resume two_secs_in_chime_enabled_beeps.outPort.node.suspend two_secs_in_chime_enabled_beeps.outPort.fire two_secs_in_chime_enabled_beeps.inPort.node.resume two_secs_in_chime_enabled_beeps.inPort.node.suspend two_secs_in_chime_enabled_beeps.inPort.fire two_secs_in_chime_enabled_beeps.inPort.available chime_enabled_quiet_t_is_whole_hour.localCondition chime_enabled_quiet_t_is_whole_hour.enableFire chime_enabled_quiet_t_is_whole_hour.fire chime_enabled_quiet_t_is_whole_hour.conditionPort chime_enabled_quiet_t_is_whole_hour.outPort.checkOneDelayedTransitionPerLoop chime_enabled_quiet_t_is_whole_hour.outPort.node.resume chime_enabled_quiet_t_is_whole_hour.outPort.node.suspend chime_enabled_quiet_t_is_whole_hour.outPort.fire chime_enabled_quiet_t_is_whole_hour.inPort.checkOneDelayedTransitionPerLoop chime_enabled_quiet_t_is_whole_hour.inPort.node.resume chime_enabled_quiet_t_is_whole_hour.inPort.node.suspend chime_enabled_quiet_t_is_whole_hour.inPort.fire chime_enabled_quiet_t_is_whole_hour.inPort.available chime_enabled_beep.outport_fire chime_enabled_beep.inport_fire chime_enabled_beep.connectorNode.node.resume chime_enabled_beep.connectorNode.node.suspend chime_enabled_beep.oldActive chime_enabled_beep.newActive chime_enabled_beep.active chime_enabled_beep.outPort[1].node.resume chime_enabled_beep.outPort[1].node.suspend chime_enabled_beep.outPort[1].fire chime_enabled_beep.outPort[1].available chime_enabled_beep.inPort[1].checkOneDelayedTransitionPerLoop chime_enabled_beep.inPort[1].node.resume chime_enabled_beep.inPort[1].node.suspend chime_enabled_beep.inPort[1].fire chime_enabled_quiet.outport_fire chime_enabled_quiet.inport_fire chime_enabled_quiet.connectorNode.node.resume chime_enabled_quiet.connectorNode.node.suspend chime_enabled_quiet.oldActive chime_enabled_quiet.newActive chime_enabled_quiet.active chime_enabled_quiet.outPort[1].checkOneDelayedTransitionPerLoop chime_enabled_quiet.outPort[1].node.resume chime_enabled_quiet.outPort[1].node.suspend chime_enabled_quiet.outPort[1].fire chime_enabled_quiet.outPort[1].available chime_enabled_quiet.inPort[2].checkOneDelayedTransitionPerLoop chime_enabled_quiet.inPort[2].node.resume chime_enabled_quiet.inPort[2].node.suspend chime_enabled_quiet.inPort[2].fire chime_enabled_quiet.inPort[1].checkOneDelayedTransitionPerLoop chime_enabled_quiet.inPort[1].node.resume chime_enabled_quiet.inPort[1].node.suspend chime_enabled_quiet.inPort[1].fire light_on_b_up.localCondition light_on_b_up.enableFire light_on_b_up.fire light_on_b_up.conditionPort light_on_b_up.outPort.checkOneDelayedTransitionPerLoop light_on_b_up.outPort.node.resume light_on_b_up.outPort.node.suspend light_on_b_up.outPort.fire light_on_b_up.inPort.node.resume light_on_b_up.inPort.node.suspend light_on_b_up.inPort.fire light_on_b_up.inPort.available light_off_b_down.localCondition light_off_b_down.enableFire light_off_b_down.fire light_off_b_down.conditionPort light_off_b_down.outPort.checkOneDelayedTransitionPerLoop light_off_b_down.outPort.node.resume light_off_b_down.outPort.node.suspend light_off_b_down.outPort.fire light_off_b_down.inPort.checkOneDelayedTransitionPerLoop light_off_b_down.inPort.node.resume light_off_b_down.inPort.node.suspend light_off_b_down.inPort.fire light_off_b_down.inPort.available light_on.outport_fire light_on.inport_fire light_on.connectorNode.node.resume light_on.connectorNode.node.suspend light_on.oldActive light_on.newActive light_on.outPort[1].node.resume light_on.outPort[1].node.suspend light_on.outPort[1].fire light_on.outPort[1].available light_on.inPort[1].checkOneDelayedTransitionPerLoop light_on.inPort[1].node.resume light_on.inPort[1].node.suspend light_on.inPort[1].fire light_off.outport_fire light_off.inport_fire light_off.connectorNode.node.resume light_off.connectorNode.node.suspend light_off.oldActive light_off.newActive light_off.outPort[1].checkOneDelayedTransitionPerLoop light_off.outPort[1].node.resume light_off.outPort[1].node.suspend light_off.outPort[1].fire light_off.outPort[1].available light_off.inPort[2].checkOneDelayedTransitionPerLoop light_off.inPort[2].node.resume light_off.inPort[2].node.suspend light_off.inPort[2].fire light_off.inPort[1].checkOneDelayedTransitionPerLoop light_off.inPort[1].node.resume light_off.inPort[1].node.suspend light_off.inPort[1].fire alarm2_enabled_d.localCondition alarm2_enabled_d.enableFire alarm2_enabled_d.fire alarm2_enabled_d.conditionPort alarm2_enabled_d.outPort.checkOneDelayedTransitionPerLoop alarm2_enabled_d.outPort.node.resume alarm2_enabled_d.outPort.node.suspend alarm2_enabled_d.outPort.fire alarm2_enabled_d.inPort.node.resume alarm2_enabled_d.inPort.node.suspend alarm2_enabled_d.inPort.fire alarm2_enabled_d.inPort.available alarm2_disabled_d.localCondition alarm2_disabled_d.enableFire alarm2_disabled_d.fire alarm2_disabled_d.conditionPort alarm2_disabled_d.outPort.checkOneDelayedTransitionPerLoop alarm2_disabled_d.outPort.node.resume alarm2_disabled_d.outPort.node.suspend alarm2_disabled_d.outPort.fire alarm2_disabled_d.inPort.checkOneDelayedTransitionPerLoop alarm2_disabled_d.inPort.node.resume alarm2_disabled_d.inPort.node.suspend alarm2_disabled_d.inPort.fire alarm2_disabled_d.inPort.available alarm2_status_enabled.outport_fire alarm2_status_enabled.inport_fire alarm2_status_enabled.connectorNode.node.resume alarm2_status_enabled.connectorNode.node.suspend alarm2_status_enabled.oldActive alarm2_status_enabled.newActive alarm2_status_enabled.outPort[1].node.resume alarm2_status_enabled.outPort[1].node.suspend alarm2_status_enabled.outPort[1].fire alarm2_status_enabled.outPort[1].available alarm2_status_enabled.inPort[1].checkOneDelayedTransitionPerLoop alarm2_status_enabled.inPort[1].node.resume alarm2_status_enabled.inPort[1].node.suspend alarm2_status_enabled.inPort[1].fire alarm2_status_disabled.outport_fire alarm2_status_disabled.inport_fire alarm2_status_disabled.connectorNode.node.resume alarm2_status_disabled.connectorNode.node.suspend alarm2_status_disabled.oldActive alarm2_status_disabled.newActive alarm2_status_disabled.active alarm2_status_disabled.outPort[1].checkOneDelayedTransitionPerLoop alarm2_status_disabled.outPort[1].node.resume alarm2_status_disabled.outPort[1].node.suspend alarm2_status_disabled.outPort[1].fire alarm2_status_disabled.outPort[1].available alarm2_status_disabled.inPort[2].checkOneDelayedTransitionPerLoop alarm2_status_disabled.inPort[2].node.resume alarm2_status_disabled.inPort[2].node.suspend alarm2_status_disabled.inPort[2].fire alarm2_status_disabled.inPort[1].checkOneDelayedTransitionPerLoop alarm2_status_disabled.inPort[1].node.resume alarm2_status_disabled.inPort[1].node.suspend alarm2_status_disabled.inPort[1].fire weaken_battery.pre_buttonState weaken_battery.buttonState weaken_battery.y thirty_secs_in_alarms_beep.t_start thirty_secs_in_alarms_beep.enableFire thirty_secs_in_alarms_beep.fire thirty_secs_in_alarms_beep.outPort.checkOneDelayedTransitionPerLoop thirty_secs_in_alarms_beep.outPort.node.resume thirty_secs_in_alarms_beep.outPort.node.suspend thirty_secs_in_alarms_beep.outPort.fire thirty_secs_in_alarms_beep.inPort.checkOneDelayedTransitionPerLoop thirty_secs_in_alarms_beep.inPort.node.resume thirty_secs_in_alarms_beep.inPort.node.suspend thirty_secs_in_alarms_beep.inPort.fire thirty_secs_in_alarms_beep.inPort.available any_button_pressed.localCondition any_button_pressed.enableFire any_button_pressed.fire any_button_pressed.outPort.checkOneDelayedTransitionPerLoop any_button_pressed.outPort.node.resume any_button_pressed.outPort.node.suspend any_button_pressed.outPort.fire any_button_pressed.inPort.checkOneDelayedTransitionPerLoop any_button_pressed.inPort.node.resume any_button_pressed.inPort.node.suspend any_button_pressed.inPort.fire any_button_pressed.inPort.available any_button_pressed.condition p_or_p1_or_p2.localCondition p_or_p1_or_p2.enableFire p_or_p1_or_p2.fire p_or_p1_or_p2.conditionPort p_or_p1_or_p2.outPort.checkOneDelayedTransitionPerLoop p_or_p1_or_p2.outPort.node.resume p_or_p1_or_p2.outPort.node.suspend p_or_p1_or_p2.outPort.fire p_or_p1_or_p2.inPort.checkOneDelayedTransitionPerLoop p_or_p1_or_p2.inPort.node.resume p_or_p1_or_p2.inPort.node.suspend p_or_p1_or_p2.inPort.fire p_or_p1_or_p2.inPort.available choose_alarm.outport_fire choose_alarm.inport_fire choose_alarm.connectorNode.node.resume choose_alarm.connectorNode.node.suspend choose_alarm.oldActive choose_alarm.newActive choose_alarm.active choose_alarm.outPort[3].node.resume choose_alarm.outPort[3].node.suspend choose_alarm.outPort[3].fire choose_alarm.outPort[3].available choose_alarm.outPort[2].node.resume choose_alarm.outPort[2].node.suspend choose_alarm.outPort[2].fire choose_alarm.outPort[2].available choose_alarm.outPort[1].node.resume choose_alarm.outPort[1].node.suspend choose_alarm.outPort[1].fire choose_alarm.outPort[1].available choose_alarm.inPort[1].checkOneDelayedTransitionPerLoop choose_alarm.inPort[1].node.resume choose_alarm.inPort[1].node.suspend choose_alarm.inPort[1].fire t_hits_t1_p.localCondition t_hits_t1_p.enableFire t_hits_t1_p.fire t_hits_t1_p.conditionPort t_hits_t1_p.outPort.node.resume t_hits_t1_p.outPort.node.suspend t_hits_t1_p.outPort.fire t_hits_t1_p.inPort.node.resume t_hits_t1_p.inPort.node.suspend t_hits_t1_p.inPort.fire t_hits_t1_p.inPort.available t_hits_t2_p2.localCondition t_hits_t2_p2.enableFire t_hits_t2_p2.fire t_hits_t2_p2.conditionPort t_hits_t2_p2.outPort.node.resume t_hits_t2_p2.outPort.node.suspend t_hits_t2_p2.outPort.fire t_hits_t2_p2.inPort.node.resume t_hits_t2_p2.inPort.node.suspend t_hits_t2_p2.inPort.fire t_hits_t2_p2.inPort.available both_beep.outport_fire both_beep.inport_fire both_beep.connectorNode.node.resume both_beep.connectorNode.node.suspend both_beep.oldActive both_beep.newActive both_beep.inPort[1].node.resume both_beep.inPort[1].node.suspend both_beep.inPort[1].fire alarm2_beeps.outport_fire alarm2_beeps.inport_fire alarm2_beeps.connectorNode.node.resume alarm2_beeps.connectorNode.node.suspend alarm2_beeps.oldActive alarm2_beeps.newActive alarm2_beeps.inPort[1].node.resume alarm2_beeps.inPort[1].node.suspend alarm2_beeps.inPort[1].fire alarm1_enabled_d.localCondition alarm1_enabled_d.enableFire alarm1_enabled_d.fire alarm1_enabled_d.conditionPort alarm1_enabled_d.outPort.checkOneDelayedTransitionPerLoop alarm1_enabled_d.outPort.node.resume alarm1_enabled_d.outPort.node.suspend alarm1_enabled_d.outPort.fire alarm1_enabled_d.inPort.node.resume alarm1_enabled_d.inPort.node.suspend alarm1_enabled_d.inPort.fire alarm1_enabled_d.inPort.available alarm1_disabled_d.localCondition alarm1_disabled_d.enableFire alarm1_disabled_d.fire alarm1_disabled_d.conditionPort alarm1_disabled_d.outPort.checkOneDelayedTransitionPerLoop alarm1_disabled_d.outPort.node.resume alarm1_disabled_d.outPort.node.suspend alarm1_disabled_d.outPort.fire alarm1_disabled_d.inPort.checkOneDelayedTransitionPerLoop alarm1_disabled_d.inPort.node.resume alarm1_disabled_d.inPort.node.suspend alarm1_disabled_d.inPort.fire alarm1_disabled_d.inPort.available alarm1_status_enabled.outport_fire alarm1_status_enabled.inport_fire alarm1_status_enabled.connectorNode.node.resume alarm1_status_enabled.connectorNode.node.suspend alarm1_status_enabled.oldActive alarm1_status_enabled.newActive alarm1_status_enabled.outPort[1].node.resume alarm1_status_enabled.outPort[1].node.suspend alarm1_status_enabled.outPort[1].fire alarm1_status_enabled.outPort[1].available alarm1_status_enabled.inPort[1].checkOneDelayedTransitionPerLoop alarm1_status_enabled.inPort[1].node.resume alarm1_status_enabled.inPort[1].node.suspend alarm1_status_enabled.inPort[1].fire alarm1_status_disabled.outport_fire alarm1_status_disabled.inport_fire alarm1_status_disabled.connectorNode.node.resume alarm1_status_disabled.connectorNode.node.suspend alarm1_status_disabled.oldActive alarm1_status_disabled.newActive alarm1_status_disabled.active alarm1_status_disabled.outPort[1].checkOneDelayedTransitionPerLoop alarm1_status_disabled.outPort[1].node.resume alarm1_status_disabled.outPort[1].node.suspend alarm1_status_disabled.outPort[1].fire alarm1_status_disabled.outPort[1].available alarm1_status_disabled.inPort[2].checkOneDelayedTransitionPerLoop alarm1_status_disabled.inPort[2].node.resume alarm1_status_disabled.inPort[2].node.suspend alarm1_status_disabled.inPort[2].fire alarm1_status_disabled.inPort[1].checkOneDelayedTransitionPerLoop alarm1_status_disabled.inPort[1].node.resume alarm1_status_disabled.inPort[1].node.suspend alarm1_status_disabled.inPort[1].fire alarm1_beeps.outport_fire alarm1_beeps.inport_fire alarm1_beeps.connectorNode.node.resume alarm1_beeps.connectorNode.node.suspend alarm1_beeps.oldActive alarm1_beeps.newActive alarm1_beeps.active alarm1_beeps.activePort alarm1_beeps.inPort[1].node.resume alarm1_beeps.inPort[1].node.suspend alarm1_beeps.inPort[1].fire kill_battery.pre_buttonState kill_battery.buttonState kill_battery.y remove_battery.pre_buttonState remove_battery.buttonState remove_battery.y insert_battery.pre_buttonState insert_battery.buttonState insert_battery.y alarms_beep.entry[1].checkOneDelayedTransitionPerLoop alarms_beep.entry[1].node.resume alarms_beep.entry[1].node.suspend alarms_beep.entry[1].fire alarms_beep.suspend[2].checkOneDelayedTransitionPerLoop alarms_beep.suspend[2].node.resume alarms_beep.suspend[2].node.suspend alarms_beep.suspend[2].fire alarms_beep.suspend[2].available alarms_beep.suspend[1].checkOneDelayedTransitionPerLoop alarms_beep.suspend[1].node.resume alarms_beep.suspend[1].node.suspend alarms_beep.suspend[1].fire alarms_beep.suspend[1].available alarms_beep.inPort[1].checkOneDelayedTransitionPerLoop alarms_beep.inPort[1].node.resume alarms_beep.inPort[1].node.suspend alarms_beep.inPort[1].fire alarms_beep.oldActive alarms_beep.local_entry[1].checkOneDelayedTransitionPerLoop alarms_beep.local_entry[1].node.resume alarms_beep.local_entry[1].node.suspend alarms_beep.local_entry[1].fire alarms_beep.local_suspend[2].checkOneDelayedTransitionPerLoop alarms_beep.local_suspend[2].node.resume alarms_beep.local_suspend[2].node.suspend alarms_beep.local_suspend[2].fire alarms_beep.local_suspend[2].available alarms_beep.local_suspend[1].checkOneDelayedTransitionPerLoop alarms_beep.local_suspend[1].node.resume alarms_beep.local_suspend[1].node.suspend alarms_beep.local_suspend[1].fire alarms_beep.local_suspend[1].available alarms_beep.local_inPort[1].checkOneDelayedTransitionPerLoop alarms_beep.local_inPort[1].node.resume alarms_beep.local_inPort[1].node.suspend alarms_beep.local_inPort[1].fire alarms_beep.checkOneDelayedTransitionPerLoopIn alarms_beep.entry_fire alarms_beep.resume_fire alarms_beep.suspend_fire alarms_beep.outport_fire alarms_beep.inport_fire alarms_beep.finished alarms_beep.newActive alarms_beep.connectorNode.node.resume alarms_beep.connectorNode.node.suspend alarms_beep.active battery_removed.localCondition battery_removed.enableFire battery_removed.fire battery_removed.conditionPort battery_removed.outPort.checkOneDelayedTransitionPerLoop battery_removed.outPort.node.resume battery_removed.outPort.node.suspend battery_removed.outPort.fire battery_removed.inPort.checkOneDelayedTransitionPerLoop battery_removed.inPort.node.resume battery_removed.inPort.node.suspend battery_removed.inPort.fire battery_removed.inPort.available dead.outport_fire dead.inport_fire dead.connectorNode.node.resume dead.connectorNode.node.suspend dead.oldActive dead.newActive dead.active dead.activePort dead.outPort[1].checkOneDelayedTransitionPerLoop dead.outPort[1].node.resume dead.outPort[1].node.suspend dead.outPort[1].fire dead.outPort[1].available dead.inPort[2].checkOneDelayedTransitionPerLoop dead.inPort[2].node.resume dead.inPort[2].node.suspend dead.inPort[2].fire dead.inPort[1].checkOneDelayedTransitionPerLoop dead.inPort[1].node.resume dead.inPort[1].node.suspend dead.inPort[1].fire main.exit[1].checkOneDelayedTransitionPerLoop main.exit[1].node.resume main.exit[1].node.suspend main.exit[1].fire main.exit[1].available main.entry[6].checkOneDelayedTransitionPerLoop main.entry[6].node.resume main.entry[6].node.suspend main.entry[6].fire main.entry[5].checkOneDelayedTransitionPerLoop main.entry[5].node.resume main.entry[5].node.suspend main.entry[5].fire main.entry[4].checkOneDelayedTransitionPerLoop main.entry[4].node.resume main.entry[4].node.suspend main.entry[4].fire main.entry[3].checkOneDelayedTransitionPerLoop main.entry[3].node.resume main.entry[3].node.suspend main.entry[3].fire main.entry[2].checkOneDelayedTransitionPerLoop main.entry[2].node.resume main.entry[2].node.suspend main.entry[2].fire main.entry[1].checkOneDelayedTransitionPerLoop main.entry[1].node.resume main.entry[1].node.suspend main.entry[1].fire main.suspend[1].checkOneDelayedTransitionPerLoop main.suspend[1].node.resume main.suspend[1].node.suspend main.suspend[1].fire main.suspend[1].available main.outPort[1].checkOneDelayedTransitionPerLoop main.outPort[1].node.resume main.outPort[1].node.suspend main.outPort[1].fire main.outPort[1].available main.inPort[1].checkOneDelayedTransitionPerLoop main.inPort[1].node.resume main.inPort[1].node.suspend main.inPort[1].fire main.oldActive main.local_exit[1].checkOneDelayedTransitionPerLoop main.local_exit[1].node.resume main.local_exit[1].node.suspend main.local_exit[1].fire main.local_exit[1].available main.local_entry[6].checkOneDelayedTransitionPerLoop main.local_entry[6].node.resume main.local_entry[6].node.suspend main.local_entry[6].fire main.local_entry[5].checkOneDelayedTransitionPerLoop main.local_entry[5].node.resume main.local_entry[5].node.suspend main.local_entry[5].fire main.local_entry[4].checkOneDelayedTransitionPerLoop main.local_entry[4].node.resume main.local_entry[4].node.suspend main.local_entry[4].fire main.local_entry[3].checkOneDelayedTransitionPerLoop main.local_entry[3].node.resume main.local_entry[3].node.suspend main.local_entry[3].fire main.local_entry[2].checkOneDelayedTransitionPerLoop main.local_entry[2].node.resume main.local_entry[2].node.suspend main.local_entry[2].fire main.local_entry[1].checkOneDelayedTransitionPerLoop main.local_entry[1].node.resume main.local_entry[1].node.suspend main.local_entry[1].fire main.local_suspend[1].checkOneDelayedTransitionPerLoop main.local_suspend[1].node.resume main.local_suspend[1].node.suspend main.local_suspend[1].fire main.local_suspend[1].available main.local_outPort[1].checkOneDelayedTransitionPerLoop main.local_outPort[1].node.resume main.local_outPort[1].node.suspend main.local_outPort[1].fire main.local_outPort[1].available main.local_inPort[1].checkOneDelayedTransitionPerLoop main.local_inPort[1].node.resume main.local_inPort[1].node.suspend main.local_inPort[1].fire main.entry_restart[6] main.entry_restart[5] main.entry_restart[4] main.entry_restart[3] main.entry_restart[2] main.entry_restart[1] main.entryIndices[6] main.entryIndices[5] main.entryIndices[4] main.entryIndices[3] main.entryIndices[2] main.entryIndices[1] main.checkOneDelayedTransitionPerLoopIn main.entry_fire main.resume_fire main.suspend_fire main.outport_fire main.inport_fire main.finished main.newActive main.connectorNode.node.resume main.connectorNode.node.suspend main.active top_level_bus_node1.T_is_whole_hour top_level_bus_node1.mode_setting top_level_bus_node1.day_setting top_level_bus_node1.T_hits_T2 top_level_bus_node1.T_hits_T1 top_level_bus_node1.year_setting top_level_bus_node1.dead_active top_level_bus_node1.update_time top_level_bus_node1.weaken_battery top_level_bus_node1.show_time top_level_bus_node1.stopwatch_time top_level_bus_node1.in_alarm2 top_level_bus_node1.in_alarm1 top_level_bus_node1.show_date top_level_bus_node1.T2 top_level_bus_node1.T1 top_level_bus_node1.insert_battery top_level_bus_node1.date_setting top_level_bus_node1.remove_battery top_level_bus_node1.main_time top_level_bus_node1.kill_battery top_level_bus_node1.alarm1_beeps alarms_bus_node.T_is_whole_hour alarms_bus_node.mode_setting alarms_bus_node.day_setting alarms_bus_node.T_hits_T2 alarms_bus_node.T_hits_T1 alarms_bus_node.year_setting alarms_bus_node.dead_active alarms_bus_node.update_time alarms_bus_node.weaken_battery alarms_bus_node.show_time alarms_bus_node.stopwatch_time alarms_bus_node.in_alarm2 alarms_bus_node.in_alarm1 alarms_bus_node.show_date alarms_bus_node.T2 alarms_bus_node.T1 alarms_bus_node.insert_battery alarms_bus_node.date_setting alarms_bus_node.remove_battery alarms_bus_node.main_time alarms_bus_node.kill_battery alarms_bus_node.alarm1_beeps main_bus_node.T_is_whole_hour main_bus_node.mode_setting main_bus_node.day_setting main_bus_node.T_hits_T2 main_bus_node.T_hits_T1 main_bus_node.year_setting main_bus_node.dead_active main_bus_node.update_time main_bus_node.weaken_battery main_bus_node.show_time main_bus_node.stopwatch_time main_bus_node.in_alarm2 main_bus_node.in_alarm1 main_bus_node.show_date main_bus_node.T2 main_bus_node.T1 main_bus_node.insert_battery main_bus_node.date_setting main_bus_node.remove_battery main_bus_node.main_time main_bus_node.kill_battery main_bus_node.alarm1_beeps displays_bus_node.T_is_whole_hour displays_bus_node.mode_setting displays_bus_node.day_setting displays_bus_node.T_hits_T2 displays_bus_node.T_hits_T1 displays_bus_node.year_setting displays_bus_node.dead_active displays_bus_node.update_time displays_bus_node.weaken_battery displays_bus_node.show_time displays_bus_node.stopwatch_time displays_bus_node.in_alarm2 displays_bus_node.in_alarm1 displays_bus_node.show_date displays_bus_node.T2 displays_bus_node.T1 displays_bus_node.insert_battery displays_bus_node.date_setting displays_bus_node.remove_battery displays_bus_node.main_time displays_bus_node.kill_battery displays_bus_node.alarm1_beeps top_level_bus_node.T_is_whole_hour top_level_bus_node.mode_setting top_level_bus_node.day_setting top_level_bus_node.T_hits_T2 top_level_bus_node.T_hits_T1 top_level_bus_node.year_setting top_level_bus_node.dead_active top_level_bus_node.update_time top_level_bus_node.weaken_battery top_level_bus_node.show_time top_level_bus_node.stopwatch_time top_level_bus_node.in_alarm2 top_level_bus_node.in_alarm1 top_level_bus_node.show_date top_level_bus_node.T2 top_level_bus_node.T1 top_level_bus_node.insert_battery top_level_bus_node.date_setting top_level_bus_node.remove_battery top_level_bus_node.main_time top_level_bus_node.kill_battery top_level_bus_node.alarm1_beeps watchController.display_node.T_is_whole_hour watchController.display_node.mode_setting watchController.display_node.day_setting watchController.display_node.T_hits_T2 watchController.display_node.T_hits_T1 watchController.display_node.year_setting watchController.display_node.dead_active watchController.display_node.update_time watchController.display_node.weaken_battery watchController.display_node.show_time watchController.display_node.stopwatch_time watchController.display_node.in_alarm2 watchController.display_node.in_alarm1 watchController.display_node.show_date watchController.display_node.T2 watchController.display_node.T1 watchController.display_node.insert_battery watchController.display_node.date_setting watchController.display_node.remove_battery watchController.display_node.main_time watchController.display_node.kill_battery watchController.display_node.alarm1_beeps watchController.Time.signalBus.T_is_whole_hour watchController.Time.signalBus.mode_setting watchController.Time.signalBus.day_setting watchController.Time.signalBus.T_hits_T2 watchController.Time.signalBus.T_hits_T1 watchController.Time.signalBus.year_setting watchController.Time.signalBus.dead_active watchController.Time.signalBus.update_time watchController.Time.signalBus.weaken_battery watchController.Time.signalBus.show_time watchController.Time.signalBus.stopwatch_time watchController.Time.signalBus.in_alarm2 watchController.Time.signalBus.in_alarm1 watchController.Time.signalBus.show_date watchController.Time.signalBus.T2 watchController.Time.signalBus.T1 watchController.Time.signalBus.insert_battery watchController.Time.signalBus.date_setting watchController.Time.signalBus.remove_battery watchController.Time.signalBus.main_time watchController.Time.signalBus.kill_battery watchController.Time.signalBus.alarm1_beeps watchController.Time.bus_node.T_is_whole_hour watchController.Time.bus_node.mode_setting watchController.Time.bus_node.day_setting watchController.Time.bus_node.T_hits_T2 watchController.Time.bus_node.T_hits_T1 watchController.Time.bus_node.year_setting watchController.Time.bus_node.dead_active watchController.Time.bus_node.update_time watchController.Time.bus_node.weaken_battery watchController.Time.bus_node.show_time watchController.Time.bus_node.stopwatch_time watchController.Time.bus_node.in_alarm2 watchController.Time.bus_node.in_alarm1 watchController.Time.bus_node.show_date watchController.Time.bus_node.T2 watchController.Time.bus_node.T1 watchController.Time.bus_node.insert_battery watchController.Time.bus_node.date_setting watchController.Time.bus_node.remove_battery watchController.Time.bus_node.main_time watchController.Time.bus_node.kill_battery watchController.Time.bus_node.alarm1_beeps out.alarm1_bus_node1.T_is_whole_hour out.alarm1_bus_node1.mode_setting out.alarm1_bus_node1.day_setting out.alarm1_bus_node1.T_hits_T2 out.alarm1_bus_node1.T_hits_T1 out.alarm1_bus_node1.year_setting out.alarm1_bus_node1.dead_active out.alarm1_bus_node1.update_time out.alarm1_bus_node1.weaken_battery out.alarm1_bus_node1.show_time out.alarm1_bus_node1.stopwatch_time out.alarm1_bus_node1.in_alarm2 out.alarm1_bus_node1.in_alarm1 out.alarm1_bus_node1.show_date out.alarm1_bus_node1.T2 out.alarm1_bus_node1.T1 out.alarm1_bus_node1.insert_battery out.alarm1_bus_node1.date_setting out.alarm1_bus_node1.remove_battery out.alarm1_bus_node1.main_time out.alarm1_bus_node1.kill_battery out.alarm1_bus_node1.alarm1_beeps out.alarm1_bus_node.T_is_whole_hour out.alarm1_bus_node.mode_setting out.alarm1_bus_node.day_setting out.alarm1_bus_node.T_hits_T2 out.alarm1_bus_node.T_hits_T1 out.alarm1_bus_node.year_setting out.alarm1_bus_node.dead_active out.alarm1_bus_node.update_time out.alarm1_bus_node.weaken_battery out.alarm1_bus_node.show_time out.alarm1_bus_node.stopwatch_time out.alarm1_bus_node.in_alarm2 out.alarm1_bus_node.in_alarm1 out.alarm1_bus_node.show_date out.alarm1_bus_node.T2 out.alarm1_bus_node.T1 out.alarm1_bus_node.insert_battery out.alarm1_bus_node.date_setting out.alarm1_bus_node.remove_battery out.alarm1_bus_node.main_time out.alarm1_bus_node.kill_battery out.alarm1_bus_node.alarm1_beeps out.update2_bus_node.T_is_whole_hour out.update2_bus_node.mode_setting out.update2_bus_node.day_setting out.update2_bus_node.T_hits_T2 out.update2_bus_node.T_hits_T1 out.update2_bus_node.year_setting out.update2_bus_node.dead_active out.update2_bus_node.update_time out.update2_bus_node.weaken_battery out.update2_bus_node.show_time out.update2_bus_node.stopwatch_time out.update2_bus_node.in_alarm2 out.update2_bus_node.in_alarm1 out.update2_bus_node.show_date out.update2_bus_node.T2 out.update2_bus_node.T1 out.update2_bus_node.insert_battery out.update2_bus_node.date_setting out.update2_bus_node.remove_battery out.update2_bus_node.main_time out.update2_bus_node.kill_battery out.update2_bus_node.alarm1_beeps out.alarm2_bus_node.T_is_whole_hour out.alarm2_bus_node.mode_setting out.alarm2_bus_node.day_setting out.alarm2_bus_node.T_hits_T2 out.alarm2_bus_node.T_hits_T1 out.alarm2_bus_node.year_setting out.alarm2_bus_node.dead_active out.alarm2_bus_node.update_time out.alarm2_bus_node.weaken_battery out.alarm2_bus_node.show_time out.alarm2_bus_node.stopwatch_time out.alarm2_bus_node.in_alarm2 out.alarm2_bus_node.in_alarm1 out.alarm2_bus_node.show_date out.alarm2_bus_node.T2 out.alarm2_bus_node.T1 out.alarm2_bus_node.insert_battery out.alarm2_bus_node.date_setting out.alarm2_bus_node.remove_battery out.alarm2_bus_node.main_time out.alarm2_bus_node.kill_battery out.alarm2_bus_node.alarm1_beeps out.chime_bus_node.T_is_whole_hour out.chime_bus_node.mode_setting out.chime_bus_node.day_setting out.chime_bus_node.T_hits_T2 out.chime_bus_node.T_hits_T1 out.chime_bus_node.year_setting out.chime_bus_node.dead_active out.chime_bus_node.update_time out.chime_bus_node.weaken_battery out.chime_bus_node.show_time out.chime_bus_node.stopwatch_time out.chime_bus_node.in_alarm2 out.chime_bus_node.in_alarm1 out.chime_bus_node.show_date out.chime_bus_node.T2 out.chime_bus_node.T1 out.chime_bus_node.insert_battery out.chime_bus_node.date_setting out.chime_bus_node.remove_battery out.chime_bus_node.main_time out.chime_bus_node.kill_battery out.chime_bus_node.alarm1_beeps regular.bus_node1.T_is_whole_hour regular.bus_node1.mode_setting regular.bus_node1.day_setting regular.bus_node1.T_hits_T2 regular.bus_node1.T_hits_T1 regular.bus_node1.year_setting regular.bus_node1.dead_active regular.bus_node1.update_time regular.bus_node1.weaken_battery regular.bus_node1.show_time regular.bus_node1.stopwatch_time regular.bus_node1.in_alarm2 regular.bus_node1.in_alarm1 regular.bus_node1.show_date regular.bus_node1.T2 regular.bus_node1.T1 regular.bus_node1.insert_battery regular.bus_node1.date_setting regular.bus_node1.remove_battery regular.bus_node1.main_time regular.bus_node1.kill_battery regular.bus_node1.alarm1_beeps regular.bus_node3.T_is_whole_hour regular.bus_node3.mode_setting regular.bus_node3.day_setting regular.bus_node3.T_hits_T2 regular.bus_node3.T_hits_T1 regular.bus_node3.year_setting regular.bus_node3.dead_active regular.bus_node3.update_time regular.bus_node3.weaken_battery regular.bus_node3.show_time regular.bus_node3.stopwatch_time regular.bus_node3.in_alarm2 regular.bus_node3.in_alarm1 regular.bus_node3.show_date regular.bus_node3.T2 regular.bus_node3.T1 regular.bus_node3.insert_battery regular.bus_node3.date_setting regular.bus_node3.remove_battery regular.bus_node3.main_time regular.bus_node3.kill_battery regular.bus_node3.alarm1_beeps regular.bus_node2.T_is_whole_hour regular.bus_node2.mode_setting regular.bus_node2.day_setting regular.bus_node2.T_hits_T2 regular.bus_node2.T_hits_T1 regular.bus_node2.year_setting regular.bus_node2.dead_active regular.bus_node2.update_time regular.bus_node2.weaken_battery regular.bus_node2.show_time regular.bus_node2.stopwatch_time regular.bus_node2.in_alarm2 regular.bus_node2.in_alarm1 regular.bus_node2.show_date regular.bus_node2.T2 regular.bus_node2.T1 regular.bus_node2.insert_battery regular.bus_node2.date_setting regular.bus_node2.remove_battery regular.bus_node2.main_time regular.bus_node2.kill_battery regular.bus_node2.alarm1_beeps signalBus.T_is_whole_hour signalBus.mode_setting signalBus.day_setting signalBus.T_hits_T2 signalBus.T_hits_T1 signalBus.year_setting signalBus.dead_active signalBus.update_time signalBus.weaken_battery signalBus.show_time signalBus.stopwatch_time signalBus.in_alarm2 signalBus.in_alarm1 signalBus.show_date signalBus.T2 signalBus.T1 signalBus.insert_battery signalBus.date_setting signalBus.remove_battery signalBus.main_time signalBus.kill_battery signalBus.alarm1_beeps $whenCondition1 $whenCondition2 $whenCondition3 $whenCondition4 $whenCondition5 $whenCondition6 $whenCondition7 $whenCondition8 $whenCondition9 $whenCondition10 $whenCondition11 $whenCondition12 $whenCondition13 $whenCondition14 $whenCondition15 $whenCondition16 $whenCondition17 $whenCondition18 $whenCondition19 $whenCondition20 $whenCondition21 $whenCondition22 $whenCondition23 $whenCondition24 $whenCondition25 $whenCondition26 $whenCondition27 $whenCondition28 $whenCondition29 $whenCondition30 $whenCondition31 $whenCondition32 $whenCondition33 $whenCondition34 $whenCondition35 $whenCondition36 $whenCondition37 $whenCondition38 $whenCondition39 $whenCondition40 $whenCondition41 $whenCondition42 $whenCondition43 $whenCondition44 $whenCondition45 $whenCondition46 $whenCondition47 $whenCondition48 $whenCondition49 $whenCondition50 $whenCondition51 $whenCondition52 $whenCondition53 $whenCondition54 $whenCondition55 $whenCondition56 $whenCondition57 $whenCondition58 $whenCondition59 $whenCondition60 $whenCondition61 $whenCondition62 $whenCondition63 $whenCondition64 $whenCondition65 $whenCondition66 $whenCondition67 $whenCondition68 $whenCondition69 $whenCondition70 $whenCondition71 $whenCondition72 $whenCondition73 $whenCondition74 $whenCondition75 $whenCondition76 $whenCondition77 $whenCondition78 $whenCondition79 $whenCondition80 $whenCondition81 $whenCondition82 $whenCondition83 $whenCondition84 $whenCondition85 $whenCondition86 $whenCondition87 $whenCondition88 $whenCondition89 $whenCondition90 $whenCondition91 $whenCondition92 $whenCondition93 $whenCondition94 $whenCondition95 $whenCondition96 $whenCondition97 $whenCondition98 $whenCondition99 $whenCondition100 $whenCondition101 $whenCondition102 $whenCondition103 $whenCondition104 $whenCondition105 $whenCondition106 $whenCondition107 $whenCondition108 $whenCondition109 $whenCondition110 $whenCondition111 $whenCondition112 $whenCondition113 $whenCondition114 $whenCondition115 $whenCondition116 $whenCondition117 $whenCondition118 equations: 1 : main.inPort[1].checkOneDelayedTransitionPerLoop = main.local_inPort[1].checkOneDelayedTransitionPerLoop 2 : main.inPort[1].fire = main.local_inPort[1].fire 3 : battery_dies.inPort.available = main.local_outPort[1].available 4 : main.outPort[1].checkOneDelayedTransitionPerLoop = main.local_outPort[1].checkOneDelayedTransitionPerLoop 5 : main.outPort[1].fire = main.local_outPort[1].fire 6 : main.suspend[1].available = main.local_suspend[1].available 7 : main.suspend[1].checkOneDelayedTransitionPerLoop = main.local_suspend[1].checkOneDelayedTransitionPerLoop 8 : main.suspend[1].fire = main.local_suspend[1].fire 9 : main.suspend[1].node.suspend = main.local_suspend[1].node.suspend 10 : main.suspend[1].node.resume = main.local_suspend[1].node.resume 11 : main.entry[1].checkOneDelayedTransitionPerLoop = main.local_entry[1].checkOneDelayedTransitionPerLoop 12 : main.entry[1].fire = main.local_entry[1].fire 13 : main.entry[1].node.suspend = main.local_entry[1].node.suspend 14 : main.entry[1].node.resume = main.local_entry[1].node.resume 15 : main.entry[2].checkOneDelayedTransitionPerLoop = main.local_entry[2].checkOneDelayedTransitionPerLoop 16 : main.entry[2].fire = main.local_entry[2].fire 17 : main.entry[2].node.suspend = main.local_entry[2].node.suspend 18 : main.entry[2].node.resume = main.local_entry[2].node.resume 19 : main.entry[3].checkOneDelayedTransitionPerLoop = main.local_entry[3].checkOneDelayedTransitionPerLoop 20 : main.entry[3].fire = main.local_entry[3].fire 21 : main.entry[3].node.suspend = main.local_entry[3].node.suspend 22 : main.entry[3].node.resume = main.local_entry[3].node.resume 23 : main.entry[4].checkOneDelayedTransitionPerLoop = main.local_entry[4].checkOneDelayedTransitionPerLoop 24 : main.entry[4].fire = main.local_entry[4].fire 25 : main.entry[4].node.suspend = main.local_entry[4].node.suspend 26 : main.entry[4].node.resume = main.local_entry[4].node.resume 27 : main.entry[5].checkOneDelayedTransitionPerLoop = main.local_entry[5].checkOneDelayedTransitionPerLoop 28 : main.entry[5].fire = main.local_entry[5].fire 29 : main.entry[5].node.suspend = main.local_entry[5].node.suspend 30 : main.entry[5].node.resume = main.local_entry[5].node.resume 31 : main.entry[6].checkOneDelayedTransitionPerLoop = main.local_entry[6].checkOneDelayedTransitionPerLoop 32 : main.entry[6].fire = main.local_entry[6].fire 33 : main.entry[6].node.suspend = main.local_entry[6].node.suspend 34 : main.entry[6].node.resume = main.local_entry[6].node.resume 35 : power_blink.outPort[1].available = main.local_exit[1].available 36 : main.exit[1].checkOneDelayedTransitionPerLoop = main.local_exit[1].checkOneDelayedTransitionPerLoop 37 : main.exit[1].fire = main.local_exit[1].fire 38 : main.exit[1].node.suspend = main.local_exit[1].node.suspend 39 : main.exit[1].node.resume = main.local_exit[1].node.resume 40 : battery_removed.conditionPort = battery_removed.localCondition 41 : alarms_beep.inPort[1].checkOneDelayedTransitionPerLoop = alarms_beep.local_inPort[1].checkOneDelayedTransitionPerLoop 42 : alarms_beep.inPort[1].fire = alarms_beep.local_inPort[1].fire 43 : alarms_beep.suspend[1].available = alarms_beep.local_suspend[1].available 44 : alarms_beep.suspend[1].checkOneDelayedTransitionPerLoop = alarms_beep.local_suspend[1].checkOneDelayedTransitionPerLoop 45 : alarms_beep.suspend[1].fire = alarms_beep.local_suspend[1].fire 46 : alarms_beep.suspend[2].available = alarms_beep.local_suspend[2].available 47 : alarms_beep.suspend[2].checkOneDelayedTransitionPerLoop = alarms_beep.local_suspend[2].checkOneDelayedTransitionPerLoop 48 : alarms_beep.suspend[2].fire = alarms_beep.local_suspend[2].fire 49 : alarms_beep.suspend[2].node.suspend = alarms_beep.local_suspend[2].node.suspend 50 : alarms_beep.suspend[2].node.resume = alarms_beep.local_suspend[2].node.resume 51 : alarms_beep.entry[1].checkOneDelayedTransitionPerLoop = alarms_beep.local_entry[1].checkOneDelayedTransitionPerLoop 52 : alarms_beep.entry[1].fire = alarms_beep.local_entry[1].fire 53 : alarms_beep.entry[1].node.suspend = alarms_beep.local_entry[1].node.suspend 54 : alarms_beep.entry[1].node.resume = alarms_beep.local_entry[1].node.resume 55 : alarm1_disabled_d.conditionPort = alarm1_disabled_d.localCondition 56 : alarm1_enabled_d.conditionPort = alarm1_enabled_d.localCondition 57 : t_hits_t2_p2.conditionPort = t_hits_t2_p2.localCondition 58 : t_hits_t1_p.conditionPort = t_hits_t1_p.localCondition 59 : p_or_p1_or_p2.conditionPort = p_or_p1_or_p2.localCondition 60 : alarm2_disabled_d.conditionPort = alarm2_disabled_d.localCondition 61 : alarm2_enabled_d.conditionPort = alarm2_enabled_d.localCondition 62 : light_off_b_down.conditionPort = light_off_b_down.localCondition 63 : light_on_b_up.conditionPort = light_on_b_up.localCondition 64 : chime_enabled_quiet_t_is_whole_hour.conditionPort = chime_enabled_quiet_t_is_whole_hour.localCondition 65 : chime_enabled.inPort[1].checkOneDelayedTransitionPerLoop = chime_enabled.local_inPort[1].checkOneDelayedTransitionPerLoop 66 : chime_enabled.inPort[1].fire = chime_enabled.local_inPort[1].fire 67 : chime_enabled.suspend[1].available = chime_enabled.local_suspend[1].available 68 : chime_enabled.suspend[1].checkOneDelayedTransitionPerLoop = chime_enabled.local_suspend[1].checkOneDelayedTransitionPerLoop 69 : chime_enabled.suspend[1].fire = chime_enabled.local_suspend[1].fire 70 : chime_enabled.suspend[1].node.suspend = chime_enabled.local_suspend[1].node.suspend 71 : chime_enabled.suspend[1].node.resume = chime_enabled.local_suspend[1].node.resume 72 : chime_enabled.entry[1].checkOneDelayedTransitionPerLoop = chime_enabled.local_entry[1].checkOneDelayedTransitionPerLoop 73 : chime_enabled.entry[1].fire = chime_enabled.local_entry[1].fire 74 : chime_enabled.entry[1].node.suspend = chime_enabled.local_entry[1].node.suspend 75 : chime_enabled.entry[1].node.resume = chime_enabled.local_entry[1].node.resume 76 : chime_disabled_d.conditionPort = chime_disabled_d.localCondition 77 : chime_enabled_d.conditionPort = chime_enabled_d.localCondition 78 : power_ok_battery_weakens.conditionPort = power_ok_battery_weakens.localCondition 79 : battery_dies.conditionPort = battery_dies.localCondition 80 : displays.inPort[1].checkOneDelayedTransitionPerLoop = displays.local_inPort[1].checkOneDelayedTransitionPerLoop 81 : displays.inPort[1].fire = displays.local_inPort[1].fire 82 : displays.inPort[1].node.suspend = displays.local_inPort[1].node.suspend 83 : displays.inPort[1].node.resume = displays.local_inPort[1].node.resume 84 : displays.suspend[1].available = displays.local_suspend[1].available 85 : displays.suspend[1].checkOneDelayedTransitionPerLoop = displays.local_suspend[1].checkOneDelayedTransitionPerLoop 86 : displays.suspend[1].fire = displays.local_suspend[1].fire 87 : displays.suspend[1].node.suspend = displays.local_suspend[1].node.suspend 88 : displays.suspend[1].node.resume = displays.local_suspend[1].node.resume 89 : any_button_pressed.outPort.checkOneDelayedTransitionPerLoop = displays.local_resume[1].checkOneDelayedTransitionPerLoop 90 : displays.resume[1].fire = displays.local_resume[1].fire 91 : displays.resume[1].node.suspend = displays.local_resume[1].node.suspend 92 : displays.resume[1].node.resume = displays.local_resume[1].node.resume 93 : thirty_secs_in_alarms_beep.outPort.checkOneDelayedTransitionPerLoop = displays.local_resume[2].checkOneDelayedTransitionPerLoop 94 : displays.resume[2].fire = displays.local_resume[2].fire 95 : displays.resume[2].node.suspend = displays.local_resume[2].node.suspend 96 : displays.resume[2].node.resume = displays.local_resume[2].node.resume 97 : displays.entry[1].checkOneDelayedTransitionPerLoop = displays.local_entry[1].checkOneDelayedTransitionPerLoop 98 : displays.entry[1].fire = displays.local_entry[1].fire 99 : displays.entry[1].node.suspend = displays.local_entry[1].node.suspend 100 : displays.entry[1].node.resume = displays.local_entry[1].node.resume 101 : regular.time_c_down.outPort.checkOneDelayedTransitionPerLoop = regular.update.local_inPort[1].checkOneDelayedTransitionPerLoop 102 : regular.update.inPort[1].fire = regular.update.local_inPort[1].fire 103 : regular.mode_c.inPort.available = regular.update.local_outPort[1].available 104 : regular.update.outPort[1].checkOneDelayedTransitionPerLoop = regular.update.local_outPort[1].checkOneDelayedTransitionPerLoop 105 : regular.update.outPort[1].fire = regular.update.local_outPort[1].fire 106 : regular.update.suspend[1].available = regular.update.local_suspend[1].available 107 : regular.update.suspend[1].checkOneDelayedTransitionPerLoop = regular.update.local_suspend[1].checkOneDelayedTransitionPerLoop 108 : regular.update.suspend[1].fire = regular.update.local_suspend[1].fire 109 : regular.update.suspend[2].available = regular.update.local_suspend[2].available 110 : regular.update.suspend[2].checkOneDelayedTransitionPerLoop = regular.update.local_suspend[2].checkOneDelayedTransitionPerLoop 111 : regular.update.suspend[2].fire = regular.update.local_suspend[2].fire 112 : regular.update.suspend[2].node.suspend = regular.update.local_suspend[2].node.suspend 113 : regular.update.suspend[2].node.resume = regular.update.local_suspend[2].node.resume 114 : regular.perform_increment.inPort.available = regular.update.local_suspend[3].available 115 : regular.update.suspend[3].checkOneDelayedTransitionPerLoop = regular.update.local_suspend[3].checkOneDelayedTransitionPerLoop 116 : regular.perform_increment.outPort.checkOneDelayedTransitionPerLoop = regular.update.local_resume[1].checkOneDelayedTransitionPerLoop 117 : regular.update.resume[1].node.suspend = regular.update.local_resume[1].node.suspend 118 : regular.update.resume[1].node.resume = regular.update.local_resume[1].node.resume 119 : regular.update.entry[1].checkOneDelayedTransitionPerLoop = regular.update.local_entry[1].checkOneDelayedTransitionPerLoop 120 : regular.update.entry[1].fire = regular.update.local_entry[1].fire 121 : regular.update.entry[1].node.suspend = regular.update.local_entry[1].node.suspend 122 : regular.update.entry[1].node.resume = regular.update.local_entry[1].node.resume 123 : regular.update.exit[1].available = regular.update.local_exit[1].available 124 : regular.update.exit[1].checkOneDelayedTransitionPerLoop = regular.update.local_exit[1].checkOneDelayedTransitionPerLoop 125 : regular.update.exit[1].fire = regular.update.local_exit[1].fire 126 : regular.update.exit[1].node.suspend = regular.update.local_exit[1].node.suspend 127 : regular.update.exit[1].node.resume = regular.update.local_exit[1].node.resume 128 : regular.time_c_down.conditionPort = regular.time_c_down.localCondition 129 : regular.mode_c.conditionPort = regular.mode_c.localCondition 130 : regular.second_c.conditionPort = regular.second_c.localCondition 131 : regular.minute_c.conditionPort = regular.minute_c.localCondition 132 : regular.ten_minutes_c.conditionPort = regular.ten_minutes_c.localCondition 133 : regular.hour_c.conditionPort = regular.hour_c.localCondition 134 : regular.month_c.conditionPort = regular.month_c.localCondition 135 : regular.date_c.conditionPort = regular.date_c.localCondition 136 : regular.day_c.conditionPort = regular.day_c.localCondition 137 : regular.year_c.conditionPort = regular.year_c.localCondition 138 : regular.perform_increment.conditionPort = regular.perform_increment.localCondition 139 : regular.OO_b_down.conditionPort = regular.OO_b_down.localCondition 140 : regular.OO_d_down.conditionPort = regular.OO_d_down.localCondition 141 : regular.IO_d_down.conditionPort = regular.IO_d_down.localCondition 142 : regular.OI_b_down.conditionPort = regular.OI_b_down.localCondition 143 : regular.beep_d_up.conditionPort = regular.beep_d_up.localCondition 144 : regular.beep_b_up.conditionPort = regular.beep_b_up.localCondition 145 : regular.IO_b_up.conditionPort = regular.IO_b_up.localCondition 146 : regular.OI_d_up.conditionPort = regular.OI_d_up.localCondition 147 : regular.and1.y = regular.time_d_b_up.localCondition 148 : regular.date_d.conditionPort = regular.date_d.localCondition 149 : regular.T2.conditionPort = regular.T2.localCondition 150 : regular.time_setting.reset = regular.time_setting.local_reset 151 : regular.date_setting.reset = regular.date_setting.local_reset 152 : regular.mode_setting.reset = regular.mode_setting.local_reset 153 : regular.year_setting.reset = regular.year_setting.local_reset 154 : regular.day_setting.reset = regular.day_setting.local_reset 155 : regular.second_c.inPort.available = regular.second.outPort[1].available 156 : regular.second_c.inPort.fire = regular.second.outPort[1].fire 157 : regular.second_c.inPort.node.suspend = regular.second.outPort[1].node.suspend 158 : regular.second_c.inPort.node.resume = regular.second.outPort[1].node.resume 159 : regular.second_c.outPort.fire = regular.minute.inPort[1].fire 160 : regular.second_c.outPort.node.suspend = regular.minute.inPort[1].node.suspend 161 : regular.second_c.outPort.node.resume = regular.minute.inPort[1].node.resume 162 : regular.minute_c.inPort.available = regular.minute.outPort[1].available 163 : regular.minute_c.inPort.fire = regular.minute.outPort[1].fire 164 : regular.minute_c.inPort.node.suspend = regular.minute.outPort[1].node.suspend 165 : regular.minute_c.inPort.node.resume = regular.minute.outPort[1].node.resume 166 : regular.minute_c.outPort.fire = regular.ten_minutes.inPort[1].fire 167 : regular.minute_c.outPort.node.suspend = regular.ten_minutes.inPort[1].node.suspend 168 : regular.minute_c.outPort.node.resume = regular.ten_minutes.inPort[1].node.resume 169 : regular.ten_minutes_c.inPort.available = regular.ten_minutes.outPort[1].available 170 : regular.ten_minutes_c.inPort.fire = regular.ten_minutes.outPort[1].fire 171 : regular.ten_minutes_c.inPort.node.suspend = regular.ten_minutes.outPort[1].node.suspend 172 : regular.ten_minutes_c.inPort.node.resume = regular.ten_minutes.outPort[1].node.resume 173 : regular.hour_c.inPort.available = regular.hour.outPort[1].available 174 : regular.hour_c.inPort.fire = regular.hour.outPort[1].fire 175 : regular.hour_c.inPort.node.suspend = regular.hour.outPort[1].node.suspend 176 : regular.hour_c.inPort.node.resume = regular.hour.outPort[1].node.resume 177 : regular.hour_c.outPort.fire = regular.month.inPort[1].fire 178 : regular.hour_c.outPort.node.suspend = regular.month.inPort[1].node.suspend 179 : regular.hour_c.outPort.node.resume = regular.month.inPort[1].node.resume 180 : regular.day_c.outPort.fire = regular.year.inPort[1].fire 181 : regular.day_c.outPort.node.suspend = regular.year.inPort[1].node.suspend 182 : regular.day_c.outPort.node.resume = regular.year.inPort[1].node.resume 183 : regular.year_c.inPort.available = regular.year.outPort[1].available 184 : regular.year_c.inPort.fire = regular.year.outPort[1].fire 185 : regular.year_c.inPort.node.suspend = regular.year.outPort[1].node.suspend 186 : regular.year_c.inPort.node.resume = regular.year.outPort[1].node.resume 187 : regular.year_c.outPort.fire = regular.mode.inPort[1].fire 188 : regular.year_c.outPort.node.suspend = regular.mode.inPort[1].node.suspend 189 : regular.year_c.outPort.node.resume = regular.mode.inPort[1].node.resume 190 : regular.date_c.inPort.available = regular.date.outPort[1].available 191 : regular.date_c.inPort.fire = regular.date.outPort[1].fire 192 : regular.date_c.inPort.node.suspend = regular.date.outPort[1].node.suspend 193 : regular.date_c.inPort.node.resume = regular.date.outPort[1].node.resume 194 : regular.update.entry[1].checkOneDelayedTransitionPerLoop = regular.second.inPort[1].checkOneDelayedTransitionPerLoop 195 : regular.update.entry[1].fire = regular.second.inPort[1].fire 196 : regular.update.entry[1].node.suspend = regular.second.inPort[1].node.suspend 197 : regular.update.entry[1].node.resume = regular.second.inPort[1].node.resume 198 : regular.day_c.inPort.available = regular.day.outPort[1].available 199 : regular.day_c.inPort.fire = regular.day.outPort[1].fire 200 : regular.day_c.inPort.node.suspend = regular.day.outPort[1].node.suspend 201 : regular.day_c.inPort.node.resume = regular.day.outPort[1].node.resume 202 : regular.update.resume[1].checkOneDelayedTransitionPerLoop = regular.perform_increment.outPort.checkOneDelayedTransitionPerLoop 203 : regular.update.resume[1].node.suspend = regular.perform_increment.outPort.node.suspend 204 : regular.update.resume[1].node.resume = regular.perform_increment.outPort.node.resume 205 : regular.mode.outPort[1].available = regular.update.exit[1].available 206 : regular.mode.outPort[1].checkOneDelayedTransitionPerLoop = regular.update.exit[1].checkOneDelayedTransitionPerLoop 207 : regular.mode.outPort[1].fire = regular.update.exit[1].fire 208 : regular.mode.outPort[1].node.suspend = regular.update.exit[1].node.suspend 209 : regular.mode.outPort[1].node.resume = regular.update.exit[1].node.resume 210 : regular.two_min_in_update.outPort.checkOneDelayedTransitionPerLoop = regular.time_.inPort[1].checkOneDelayedTransitionPerLoop 211 : regular.two_min_in_update.outPort.fire = regular.time_.inPort[1].fire 212 : regular.two_min_in_update.outPort.node.suspend = regular.time_.inPort[1].node.suspend 213 : regular.two_min_in_update.outPort.node.resume = regular.time_.inPort[1].node.resume 214 : regular.mode_c.outPort.checkOneDelayedTransitionPerLoop = regular.time_.inPort[2].checkOneDelayedTransitionPerLoop 215 : regular.mode_c.outPort.fire = regular.time_.inPort[2].fire 216 : regular.mode_c.outPort.node.suspend = regular.time_.inPort[2].node.suspend 217 : regular.mode_c.outPort.node.resume = regular.time_.inPort[2].node.resume 218 : regular.OO.outPort[1].available = regular.OO_b_down.inPort.available 219 : regular.OO.outPort[1].checkOneDelayedTransitionPerLoop = regular.OO_b_down.inPort.checkOneDelayedTransitionPerLoop 220 : regular.OO.outPort[1].fire = regular.OO_b_down.inPort.fire 221 : regular.beep_d_up.inPort.available = regular.beep.outPort[1].available 222 : regular.beep_d_up.inPort.checkOneDelayedTransitionPerLoop = regular.beep.outPort[1].checkOneDelayedTransitionPerLoop 223 : regular.beep_d_up.inPort.fire = regular.beep.outPort[1].fire 224 : regular.beep_d_up.outPort.checkOneDelayedTransitionPerLoop = regular.IO.inPort[1].checkOneDelayedTransitionPerLoop 225 : regular.beep_d_up.outPort.fire = regular.IO.inPort[1].fire 226 : regular.beep_d_up.outPort.node.suspend = regular.IO.inPort[1].node.suspend 227 : regular.beep_d_up.outPort.node.resume = regular.IO.inPort[1].node.resume 228 : regular.OO_b_down.outPort.checkOneDelayedTransitionPerLoop = regular.IO.inPort[2].checkOneDelayedTransitionPerLoop 229 : regular.OO_b_down.outPort.fire = regular.IO.inPort[2].fire 230 : regular.OO_b_down.outPort.node.suspend = regular.IO.inPort[2].node.suspend 231 : regular.OO_b_down.outPort.node.resume = regular.IO.inPort[2].node.resume 232 : regular.OO_d_down.outPort.checkOneDelayedTransitionPerLoop = regular.OI.inPort[1].checkOneDelayedTransitionPerLoop 233 : regular.OO_d_down.outPort.fire = regular.OI.inPort[1].fire 234 : regular.IO.outPort[1].available = regular.IO_b_up.inPort.available 235 : regular.IO.outPort[1].checkOneDelayedTransitionPerLoop = regular.IO_b_up.inPort.checkOneDelayedTransitionPerLoop 236 : regular.IO.outPort[1].fire = regular.IO_b_up.inPort.fire 237 : regular.IO.outPort[2].available = regular.IO_d_down.inPort.available 238 : regular.IO.outPort[2].checkOneDelayedTransitionPerLoop = regular.IO_d_down.inPort.checkOneDelayedTransitionPerLoop 239 : regular.IO.outPort[2].fire = regular.IO_d_down.inPort.fire 240 : regular.IO.outPort[2].node.suspend = regular.IO_d_down.inPort.node.suspend 241 : regular.IO.outPort[2].node.resume = regular.IO_d_down.inPort.node.resume 242 : regular.two_min_in_date.outPort.checkOneDelayedTransitionPerLoop = regular.time_.inPort[3].checkOneDelayedTransitionPerLoop 243 : regular.two_min_in_date.outPort.fire = regular.time_.inPort[3].fire 244 : regular.IO_d_down.outPort.checkOneDelayedTransitionPerLoop = regular.beep.inPort[1].checkOneDelayedTransitionPerLoop 245 : regular.IO_d_down.outPort.fire = regular.beep.inPort[1].fire 246 : regular.IO_d_down.outPort.node.suspend = regular.beep.inPort[1].node.suspend 247 : regular.IO_d_down.outPort.node.resume = regular.beep.inPort[1].node.resume 248 : regular.OI_b_down.outPort.checkOneDelayedTransitionPerLoop = regular.beep.inPort[2].checkOneDelayedTransitionPerLoop 249 : regular.OI_b_down.outPort.fire = regular.beep.inPort[2].fire 250 : regular.beep_b_up.inPort.available = regular.beep.outPort[2].available 251 : regular.beep_b_up.inPort.checkOneDelayedTransitionPerLoop = regular.beep.outPort[2].checkOneDelayedTransitionPerLoop 252 : regular.beep_b_up.inPort.fire = regular.beep.outPort[2].fire 253 : regular.beep_b_up.inPort.node.suspend = regular.beep.outPort[2].node.suspend 254 : regular.beep_b_up.inPort.node.resume = regular.beep.outPort[2].node.resume 255 : regular.beep_b_up.outPort.checkOneDelayedTransitionPerLoop = regular.OI.inPort[2].checkOneDelayedTransitionPerLoop 256 : regular.beep_b_up.outPort.fire = regular.OI.inPort[2].fire 257 : regular.beep_b_up.outPort.node.suspend = regular.OI.inPort[2].node.suspend 258 : regular.beep_b_up.outPort.node.resume = regular.OI.inPort[2].node.resume 259 : regular.OI.outPort[1].available = regular.OI_b_down.inPort.available 260 : regular.OI.outPort[1].checkOneDelayedTransitionPerLoop = regular.OI_b_down.inPort.checkOneDelayedTransitionPerLoop 261 : regular.OI.outPort[1].fire = regular.OI_b_down.inPort.fire 262 : regular.OI.outPort[1].node.suspend = regular.OI_b_down.inPort.node.suspend 263 : regular.OI.outPort[1].node.resume = regular.OI_b_down.inPort.node.resume 264 : regular.OI_d_up.inPort.available = regular.OI.outPort[2].available 265 : regular.OI_d_up.inPort.checkOneDelayedTransitionPerLoop = regular.OI.outPort[2].checkOneDelayedTransitionPerLoop 266 : regular.OI_d_up.inPort.fire = regular.OI.outPort[2].fire 267 : regular.OI_d_up.inPort.node.suspend = regular.OI.outPort[2].node.suspend 268 : regular.OI_d_up.inPort.node.resume = regular.OI.outPort[2].node.resume 269 : regular.OO.outPort[2].available = regular.OO_d_down.inPort.available 270 : regular.OO.outPort[2].checkOneDelayedTransitionPerLoop = regular.OO_d_down.inPort.checkOneDelayedTransitionPerLoop 271 : regular.OO.outPort[2].fire = regular.OO_d_down.inPort.fire 272 : regular.OO.outPort[2].node.suspend = regular.OO_d_down.inPort.node.suspend 273 : regular.OO.outPort[2].node.resume = regular.OO_d_down.inPort.node.resume 274 : regular.mode_c.inPort.available = regular.update.outPort[1].available 275 : regular.mode_c.inPort.checkOneDelayedTransitionPerLoop = regular.update.outPort[1].checkOneDelayedTransitionPerLoop 276 : regular.mode_c.inPort.fire = regular.update.outPort[1].fire 277 : regular.mode_c.inPort.node.suspend = regular.update.outPort[1].node.suspend 278 : regular.mode_c.inPort.node.resume = regular.update.outPort[1].node.resume 279 : regular.month.outPort[1].available = regular.month_c.inPort.available 280 : regular.month.outPort[1].fire = regular.month_c.inPort.fire 281 : regular.month.outPort[1].node.suspend = regular.month_c.inPort.node.suspend 282 : regular.month.outPort[1].node.resume = regular.month_c.inPort.node.resume 283 : regular.month_c.outPort.fire = regular.date.inPort[1].fire 284 : regular.month_c.outPort.node.suspend = regular.date.inPort[1].node.suspend 285 : regular.month_c.outPort.node.resume = regular.date.inPort[1].node.resume 286 : regular.date_c.outPort.fire = regular.day.inPort[1].fire 287 : regular.date_c.outPort.node.suspend = regular.day.inPort[1].node.suspend 288 : regular.date_c.outPort.node.resume = regular.day.inPort[1].node.resume 289 : regular.ten_minutes_c.outPort.fire = regular.hour.inPort[1].fire 290 : regular.ten_minutes_c.outPort.node.suspend = regular.hour.inPort[1].node.suspend 291 : regular.ten_minutes_c.outPort.node.resume = regular.hour.inPort[1].node.resume 292 : true = regular.show_time.u[1] 293 : true = regular.show_time.u[2] 294 : 0.0 = regular.show_time.u[3] 295 : true = regular.show_time.u[4] 296 : regular.IO_b_up.outPort.checkOneDelayedTransitionPerLoop = regular.OO.inPort[1].checkOneDelayedTransitionPerLoop 297 : regular.IO_b_up.outPort.fire = regular.OO.inPort[1].fire 298 : regular.IO_b_up.outPort.node.suspend = regular.OO.inPort[1].node.suspend 299 : regular.IO_b_up.outPort.node.resume = regular.OO.inPort[1].node.resume 300 : regular.entry[2].checkOneDelayedTransitionPerLoop = regular.local_entry[2].checkOneDelayedTransitionPerLoop 301 : regular.local_entry[2].checkOneDelayedTransitionPerLoop = regular.OO.inPort[2].checkOneDelayedTransitionPerLoop 302 : regular.entry[2].fire = regular.local_entry[2].fire 303 : regular.local_entry[2].fire = regular.OO.inPort[2].fire 304 : regular.entry[2].node.suspend = regular.local_entry[2].node.suspend 305 : regular.entry[2].node.suspend = regular.OO.inPort[2].node.suspend 306 : regular.entry[2].node.resume = regular.local_entry[2].node.resume 307 : regular.entry[2].node.resume = regular.OO.inPort[2].node.resume 308 : regular.OI_d_up.outPort.checkOneDelayedTransitionPerLoop = regular.OO.inPort[3].checkOneDelayedTransitionPerLoop 309 : regular.OI_d_up.outPort.fire = regular.OO.inPort[3].fire 310 : regular.OI_d_up.outPort.node.suspend = regular.OO.inPort[3].node.suspend 311 : regular.OI_d_up.outPort.node.resume = regular.OO.inPort[3].node.resume 312 : regular.date_.outPort[1].available = regular.date_d.inPort.available 313 : regular.date_.outPort[1].checkOneDelayedTransitionPerLoop = regular.date_d.inPort.checkOneDelayedTransitionPerLoop 314 : regular.date_.outPort[1].fire = regular.date_d.inPort.fire 315 : regular.time_d_b_up.outPort.fire = regular.date_.inPort[1].fire 316 : regular.time_d_b_up.outPort.node.suspend = regular.date_.inPort[1].node.suspend 317 : regular.time_d_b_up.outPort.node.resume = regular.date_.inPort[1].node.resume 318 : regular.date_.outPort[2].available = regular.two_min_in_date.inPort.available 319 : regular.date_.outPort[2].checkOneDelayedTransitionPerLoop = regular.two_min_in_date.inPort.checkOneDelayedTransitionPerLoop 320 : regular.date_.outPort[2].fire = regular.two_min_in_date.inPort.fire 321 : regular.date_.outPort[2].node.suspend = regular.two_min_in_date.inPort.node.suspend 322 : regular.date_.outPort[2].node.resume = regular.two_min_in_date.inPort.node.resume 323 : regular.entry[1].checkOneDelayedTransitionPerLoop = regular.local_entry[1].checkOneDelayedTransitionPerLoop 324 : regular.local_entry[1].checkOneDelayedTransitionPerLoop = regular.time_.inPort[4].checkOneDelayedTransitionPerLoop 325 : regular.entry[1].fire = regular.local_entry[1].fire 326 : regular.local_entry[1].fire = regular.time_.inPort[4].fire 327 : regular.entry[1].node.suspend = regular.local_entry[1].node.suspend 328 : regular.entry[1].node.suspend = regular.time_.inPort[4].node.suspend 329 : regular.entry[1].node.resume = regular.local_entry[1].node.resume 330 : regular.entry[1].node.resume = regular.time_.inPort[4].node.resume 331 : regular.time_c_down.conditionPort = regular.day_c.conditionPort 332 : regular.time_c_down.conditionPort = regular.pre1.y 333 : regular.time_c_down.conditionPort = regular.ten_minutes_c.conditionPort 334 : regular.time_c_down.conditionPort = regular.mode_c.conditionPort 335 : regular.time_c_down.conditionPort = regular.year_c.conditionPort 336 : regular.time_c_down.conditionPort = regular.hour_c.conditionPort 337 : regular.time_c_down.conditionPort = regular.month_c.conditionPort 338 : regular.time_c_down.conditionPort = regular.date_c.conditionPort 339 : regular.time_c_down.conditionPort = regular.second_c.conditionPort 340 : regular.time_c_down.conditionPort = regular.minute_c.conditionPort 341 : regular.and1.y = regular.time_d_b_up.conditionPort 342 : regular.not1.y = regular.and1.u1 343 : regular.not2.y = regular.beep_b_up.conditionPort 344 : top_level_bus_node1.b = top_level_bus_node.b 345 : top_level_bus_node1.b = watchController.display_node.b 346 : top_level_bus_node1.b = alarms_bus_node.b 347 : top_level_bus_node1.b = main_bus_node.b 348 : top_level_bus_node1.b = displays_bus_node.b 349 : top_level_bus_node1.b = signalBus.b 350 : top_level_bus_node1.b = out.alarm1_bus_node.b 351 : top_level_bus_node1.b = out.alarm1_bus_node1.b 352 : top_level_bus_node1.b = out.update2_bus_node.b 353 : top_level_bus_node1.b = out.alarm2_bus_node.b 354 : top_level_bus_node1.b = out.chime_bus_node.b 355 : top_level_bus_node1.b = regular.bus_node3.b 356 : top_level_bus_node1.b = regular.bus_node1.b 357 : top_level_bus_node1.b = regular.bus_node2.b 358 : top_level_bus_node1.b = light_off_b_down.conditionPort 359 : top_level_bus_node1.b = B.y 360 : top_level_bus_node1.b = stopwatch.edge1.u 361 : top_level_bus_node1.b = out.edge7.u 362 : top_level_bus_node1.b = out.edge9.u 363 : top_level_bus_node1.b = regular.T2.conditionPort 364 : top_level_bus_node1.b = regular.not4.u 365 : top_level_bus_node1.b = regular.not2.u 366 : top_level_bus_node1.b = not2.u 367 : top_level_bus_node1.b = regular.not1.u 368 : top_level_bus_node1.b = regular.OO_b_down.conditionPort 369 : top_level_bus_node1.b = regular.OI_b_down.conditionPort 370 : regular.not3.y = regular.beep_d_up.conditionPort 371 : top_level_bus_node1.d = top_level_bus_node.d 372 : top_level_bus_node1.d = watchController.display_node.d 373 : top_level_bus_node1.d = alarms_bus_node.d 374 : top_level_bus_node1.d = main_bus_node.d 375 : top_level_bus_node1.d = displays_bus_node.d 376 : top_level_bus_node1.d = signalBus.d 377 : top_level_bus_node1.d = out.alarm1_bus_node.d 378 : top_level_bus_node1.d = out.alarm1_bus_node1.d 379 : top_level_bus_node1.d = out.update2_bus_node.d 380 : top_level_bus_node1.d = out.alarm2_bus_node.d 381 : top_level_bus_node1.d = out.chime_bus_node.d 382 : top_level_bus_node1.d = regular.bus_node3.d 383 : top_level_bus_node1.d = regular.bus_node1.d 384 : top_level_bus_node1.d = regular.bus_node2.d 385 : top_level_bus_node1.d = edge4.u 386 : top_level_bus_node1.d = edge3.u 387 : top_level_bus_node1.d = edge2.u 388 : top_level_bus_node1.d = D.y 389 : top_level_bus_node1.d = stopwatch.edge2.u 390 : top_level_bus_node1.d = out.edge10.u 391 : top_level_bus_node1.d = out.edge6.u 392 : top_level_bus_node1.d = out.edge5.u 393 : top_level_bus_node1.d = regular.edge2.u 394 : top_level_bus_node1.d = regular.pre2.u 395 : top_level_bus_node1.d = regular.not5.u 396 : top_level_bus_node1.d = regular.not3.u 397 : top_level_bus_node1.d = regular.OO_d_down.conditionPort 398 : top_level_bus_node1.d = regular.IO_d_down.conditionPort 399 : regular.not4.y = regular.IO_b_up.conditionPort 400 : regular.not5.y = regular.OI_d_up.conditionPort 401 : regular.T2.inPort.available = regular.update.suspend[1].available 402 : regular.T2.inPort.checkOneDelayedTransitionPerLoop = regular.update.suspend[1].checkOneDelayedTransitionPerLoop 403 : regular.T2.inPort.fire = regular.update.suspend[1].fire 404 : regular.T2.inPort.node.suspend = regular.update.suspend[1].node.suspend 405 : regular.T2.inPort.node.resume = regular.update.suspend[1].node.resume 406 : regular.two_min_in_update.inPort.available = regular.update.suspend[2].available 407 : regular.two_min_in_update.inPort.checkOneDelayedTransitionPerLoop = regular.update.suspend[2].checkOneDelayedTransitionPerLoop 408 : regular.two_min_in_update.inPort.fire = regular.update.suspend[2].fire 409 : regular.two_min_in_update.inPort.node.suspend = regular.update.suspend[2].node.suspend 410 : regular.two_min_in_update.inPort.node.resume = regular.update.suspend[2].node.resume 411 : regular.perform_increment.inPort.available = regular.update.suspend[3].available 412 : regular.perform_increment.inPort.checkOneDelayedTransitionPerLoop = regular.update.suspend[3].checkOneDelayedTransitionPerLoop 413 : regular.perform_increment.inPort.node.suspend = regular.update.suspend[3].node.suspend 414 : regular.perform_increment.inPort.node.resume = regular.update.suspend[3].node.resume 415 : regular.T2.outPort.checkOneDelayedTransitionPerLoop = regular.time_.inPort[5].checkOneDelayedTransitionPerLoop 416 : regular.T2.outPort.fire = regular.time_.inPort[5].fire 417 : regular.T2.outPort.node.suspend = regular.time_.inPort[5].node.suspend 418 : regular.T2.outPort.node.resume = regular.time_.inPort[5].node.resume 419 : regular.time_setting.u = regular.time_quantity.y 420 : 0.0 = regular.show_date.u[1] 421 : true = regular.show_date.u[2] 422 : true = regular.show_date.u[3] 423 : regular.time_.outPort[1].available = regular.time_c_down.inPort.available 424 : regular.time_.outPort[1].checkOneDelayedTransitionPerLoop = regular.time_c_down.inPort.checkOneDelayedTransitionPerLoop 425 : regular.time_.outPort[1].fire = regular.time_c_down.inPort.fire 426 : regular.time_.outPort[1].node.suspend = regular.time_c_down.inPort.node.suspend 427 : regular.time_.outPort[1].node.resume = regular.time_c_down.inPort.node.resume 428 : regular.edge1.y = regular.and1.u2 429 : regular.date_d.outPort.checkOneDelayedTransitionPerLoop = regular.time_.inPort[6].checkOneDelayedTransitionPerLoop 430 : regular.date_d.outPort.fire = regular.time_.inPort[6].fire 431 : regular.date_d.outPort.node.suspend = regular.time_.inPort[6].node.suspend 432 : regular.date_d.outPort.node.resume = regular.time_.inPort[6].node.resume 433 : regular.date_setting.u = regular.date_quantity.y 434 : regular.mode_setting.u = regular.mode_quantity.y 435 : regular.year_setting.u = regular.year_quantity.y 436 : true = regular.show_time.u[5] 437 : regular.day_quantity.y = regular.day_setting.u 438 : regular.time_c_down.outPort.checkOneDelayedTransitionPerLoop = regular.update.inPort[1].checkOneDelayedTransitionPerLoop 439 : regular.time_c_down.outPort.fire = regular.update.inPort[1].fire 440 : regular.time_c_down.outPort.node.suspend = regular.update.inPort[1].node.suspend 441 : regular.time_c_down.outPort.node.resume = regular.update.inPort[1].node.resume 442 : regular.time_.outPort[2].available = regular.local_exit[1].available 443 : regular.exit[1].available = regular.time_.outPort[2].available 444 : regular.exit[1].checkOneDelayedTransitionPerLoop = regular.local_exit[1].checkOneDelayedTransitionPerLoop 445 : regular.exit[1].checkOneDelayedTransitionPerLoop = regular.time_.outPort[2].checkOneDelayedTransitionPerLoop 446 : regular.exit[1].fire = regular.local_exit[1].fire 447 : regular.exit[1].fire = regular.time_.outPort[2].fire 448 : regular.exit[1].node.suspend = regular.local_exit[1].node.suspend 449 : regular.exit[1].node.suspend = regular.time_.outPort[2].node.suspend 450 : regular.exit[1].node.resume = regular.local_exit[1].node.resume 451 : regular.exit[1].node.resume = regular.time_.outPort[2].node.resume 452 : regular.time_.outPort[3].available = regular.time_d_b_up.inPort.available 453 : regular.time_.outPort[3].checkOneDelayedTransitionPerLoop = regular.time_d_b_up.inPort.checkOneDelayedTransitionPerLoop 454 : regular.time_.outPort[3].fire = regular.time_d_b_up.inPort.fire 455 : regular.time_.outPort[3].node.suspend = regular.time_d_b_up.inPort.node.suspend 456 : regular.time_.outPort[3].node.resume = regular.time_d_b_up.inPort.node.resume 457 : regular.pre2.y = regular.perform_increment.conditionPort 458 : regular.edge2.y = regular.edge1.u 459 : regular.edge2.y = regular.date_d.conditionPort 460 : two_min_in_out.outPort.checkOneDelayedTransitionPerLoop = regular.local_inPort[1].checkOneDelayedTransitionPerLoop 461 : regular.inPort[1].fire = regular.local_inPort[1].fire 462 : regular.inPort[2].checkOneDelayedTransitionPerLoop = regular.local_inPort[2].checkOneDelayedTransitionPerLoop 463 : regular.inPort[2].fire = regular.local_inPort[2].fire 464 : wait_c_up_1.outPort.checkOneDelayedTransitionPerLoop = regular.local_inPort[3].checkOneDelayedTransitionPerLoop 465 : regular.inPort[3].fire = regular.local_inPort[3].fire 466 : regular.inPort[4].checkOneDelayedTransitionPerLoop = regular.local_inPort[4].checkOneDelayedTransitionPerLoop 467 : regular.inPort[4].fire = regular.local_inPort[4].fire 468 : regular.inPort[4].node.suspend = regular.local_inPort[4].node.suspend 469 : regular.inPort[4].node.resume = regular.local_inPort[4].node.resume 470 : regular_a.inPort.available = regular.local_outPort[1].available 471 : regular.outPort[1].checkOneDelayedTransitionPerLoop = regular.local_outPort[1].checkOneDelayedTransitionPerLoop 472 : regular.outPort[1].fire = regular.local_outPort[1].fire 473 : regular.outPort[1].node.suspend = regular.local_outPort[1].node.suspend 474 : regular.outPort[1].node.resume = regular.local_outPort[1].node.resume 475 : regular.suspend[1].available = regular.local_suspend[1].available 476 : regular.suspend[1].checkOneDelayedTransitionPerLoop = regular.local_suspend[1].checkOneDelayedTransitionPerLoop 477 : regular.suspend[1].fire = regular.local_suspend[1].fire 478 : two_sec_in_wait.outPort.checkOneDelayedTransitionPerLoop = regular.local_resume[1].checkOneDelayedTransitionPerLoop 479 : regular.resume[1].fire = regular.local_resume[1].fire 480 : regular.resume[1].node.suspend = regular.local_resume[1].node.suspend 481 : regular.resume[1].node.resume = regular.local_resume[1].node.resume 482 : out.chime.suspend[1].available = out.chime.local_suspend[1].available 483 : out.chime.suspend[1].fire = out.chime.local_suspend[1].fire 484 : out.chime.suspend[1].node.suspend = out.chime.local_suspend[1].node.suspend 485 : out.chime.suspend[1].node.resume = out.chime.local_suspend[1].node.resume 486 : out.chime.resume[1].fire = out.chime.local_resume[1].fire 487 : out.chime.resume[1].node.suspend = out.chime.local_resume[1].node.suspend 488 : out.chime.resume[1].node.resume = out.chime.local_resume[1].node.resume 489 : out.chime.entry[1].fire = out.chime.local_entry[1].fire 490 : out.chime.entry[1].node.suspend = out.chime.local_entry[1].node.suspend 491 : out.chime.entry[1].node.resume = out.chime.local_entry[1].node.resume 492 : out.chime_off_d.conditionPort = out.chime_off_d.localCondition 493 : out.chime_on_d.conditionPort = out.chime_on_d.localCondition 494 : out.alarm1.suspend[1].available = out.alarm1.local_suspend[1].available 495 : out.alarm1.suspend[1].checkOneDelayedTransitionPerLoop = out.alarm1.local_suspend[1].checkOneDelayedTransitionPerLoop 496 : out.alarm1.suspend[1].fire = out.alarm1.local_suspend[1].fire 497 : out.alarm1_a.inPort.available = out.alarm1.local_suspend[2].available 498 : out.alarm1.suspend[2].checkOneDelayedTransitionPerLoop = out.alarm1.local_suspend[2].checkOneDelayedTransitionPerLoop 499 : out.alarm1.suspend[2].fire = out.alarm1.local_suspend[2].fire 500 : out.alarm1.suspend[2].node.suspend = out.alarm1.local_suspend[2].node.suspend 501 : out.alarm1.suspend[2].node.resume = out.alarm1.local_suspend[2].node.resume 502 : out.update1_b.outPort.checkOneDelayedTransitionPerLoop = out.alarm1.local_resume[1].checkOneDelayedTransitionPerLoop 503 : out.alarm1.resume[1].fire = out.alarm1.local_resume[1].fire 504 : out.update1_c.outPort.checkOneDelayedTransitionPerLoop = out.alarm1.local_resume[2].checkOneDelayedTransitionPerLoop 505 : out.alarm1.resume[2].fire = out.alarm1.local_resume[2].fire 506 : out.alarm1.resume[2].node.suspend = out.alarm1.local_resume[2].node.suspend 507 : out.alarm1.resume[2].node.resume = out.alarm1.local_resume[2].node.resume 508 : out.alarm1.resume[3].checkOneDelayedTransitionPerLoop = out.alarm1.local_resume[3].checkOneDelayedTransitionPerLoop 509 : out.alarm1.resume[3].fire = out.alarm1.local_resume[3].fire 510 : out.alarm1.resume[3].node.suspend = out.alarm1.local_resume[3].node.suspend 511 : out.alarm1.resume[3].node.resume = out.alarm1.local_resume[3].node.resume 512 : out.alarm1.entry[1].checkOneDelayedTransitionPerLoop = out.alarm1.local_entry[1].checkOneDelayedTransitionPerLoop 513 : out.alarm1.entry[1].fire = out.alarm1.local_entry[1].fire 514 : out.alarm1.entry[1].node.suspend = out.alarm1.local_entry[1].node.suspend 515 : out.alarm1.entry[1].node.resume = out.alarm1.local_entry[1].node.resume 516 : out.alarm1_off_d.conditionPort = out.alarm1_off_d.localCondition 517 : out.alarm1_on_d.conditionPort = out.alarm1_on_d.localCondition 518 : out.update1.inPort[1].checkOneDelayedTransitionPerLoop = out.update1.local_inPort[1].checkOneDelayedTransitionPerLoop 519 : out.update1.inPort[1].fire = out.update1.local_inPort[1].fire 520 : out.update1.inPort[1].node.suspend = out.update1.local_inPort[1].node.suspend 521 : out.update1.inPort[1].node.resume = out.update1.local_inPort[1].node.resume 522 : out.update1_c.inPort.available = out.update1.local_outPort[1].available 523 : out.update1.outPort[1].fire = out.update1.local_outPort[1].fire 524 : out.update1.outPort[1].node.suspend = out.update1.local_outPort[1].node.suspend 525 : out.update1.outPort[1].node.resume = out.update1.local_outPort[1].node.resume 526 : out.update1.suspend[1].available = out.update1.local_suspend[1].available 527 : out.update1.suspend[1].checkOneDelayedTransitionPerLoop = out.update1.local_suspend[1].checkOneDelayedTransitionPerLoop 528 : out.update1.suspend[2].available = out.update1.local_suspend[2].available 529 : out.update1.suspend[2].checkOneDelayedTransitionPerLoop = out.update1.local_suspend[2].checkOneDelayedTransitionPerLoop 530 : out.update1.suspend[2].fire = out.update1.local_suspend[2].fire 531 : out.update1.suspend[2].node.suspend = out.update1.local_suspend[2].node.suspend 532 : out.update1.suspend[2].node.resume = out.update1.local_suspend[2].node.resume 533 : out.update1_d.outPort.checkOneDelayedTransitionPerLoop = out.update1.local_resume[1].checkOneDelayedTransitionPerLoop 534 : out.update1.resume[1].node.suspend = out.update1.local_resume[1].node.suspend 535 : out.update1.resume[1].node.resume = out.update1.local_resume[1].node.resume 536 : out.update1.entry[1].checkOneDelayedTransitionPerLoop = out.update1.local_entry[1].checkOneDelayedTransitionPerLoop 537 : out.update1.entry[1].fire = out.update1.local_entry[1].fire 538 : out.update1.entry[1].node.suspend = out.update1.local_entry[1].node.suspend 539 : out.update1.entry[1].node.resume = out.update1.local_entry[1].node.resume 540 : out.update1.exit[1].available = out.update1.local_exit[1].available 541 : out.update1.exit[1].fire = out.update1.local_exit[1].fire 542 : out.update1.exit[1].node.suspend = out.update1.local_exit[1].node.suspend 543 : out.update1.exit[1].node.resume = out.update1.local_exit[1].node.resume 544 : out.update1_hour_c.conditionPort = out.update1_hour_c.localCondition 545 : out.update1_ten_min_c.conditionPort = out.update1_ten_min_c.localCondition 546 : out.update1_d.conditionPort = out.update1_d.localCondition 547 : out.update1_b.conditionPort = out.update1_b.localCondition 548 : out.update1_c.conditionPort = out.update1_c.localCondition 549 : out.alarm2.suspend[1].available = out.alarm2.local_suspend[1].available 550 : out.alarm2.suspend[1].fire = out.alarm2.local_suspend[1].fire 551 : out.alarm2.suspend[1].node.suspend = out.alarm2.local_suspend[1].node.suspend 552 : out.alarm2.suspend[1].node.resume = out.alarm2.local_suspend[1].node.resume 553 : out.alarm2_c.inPort.available = out.alarm2.local_suspend[2].available 554 : out.alarm2.suspend[2].fire = out.alarm2.local_suspend[2].fire 555 : out.alarm2.resume[1].fire = out.alarm2.local_resume[1].fire 556 : out.alarm2.resume[2].fire = out.alarm2.local_resume[2].fire 557 : out.alarm2.resume[2].node.suspend = out.alarm2.local_resume[2].node.suspend 558 : out.alarm2.resume[2].node.resume = out.alarm2.local_resume[2].node.resume 559 : out.alarm2.resume[3].fire = out.alarm2.local_resume[3].fire 560 : out.alarm2.resume[3].node.suspend = out.alarm2.local_resume[3].node.suspend 561 : out.alarm2.resume[3].node.resume = out.alarm2.local_resume[3].node.resume 562 : out.alarm2.entry[1].fire = out.alarm2.local_entry[1].fire 563 : out.alarm2.entry[1].node.suspend = out.alarm2.local_entry[1].node.suspend 564 : out.alarm2.entry[1].node.resume = out.alarm2.local_entry[1].node.resume 565 : out.alarm2_off_d.conditionPort = out.alarm2_off_d.localCondition 566 : out.alarm2_on_d.conditionPort = out.alarm2_on_d.localCondition 567 : out.alarm2_c.outPort.checkOneDelayedTransitionPerLoop = out.update2.local_inPort[1].checkOneDelayedTransitionPerLoop 568 : out.update2.inPort[1].fire = out.update2.local_inPort[1].fire 569 : out.update2.inPort[1].node.suspend = out.update2.local_inPort[1].node.suspend 570 : out.update2.inPort[1].node.resume = out.update2.local_inPort[1].node.resume 571 : out.update2_c.inPort.available = out.update2.local_outPort[1].available 572 : out.update2.outPort[1].fire = out.update2.local_outPort[1].fire 573 : out.update2.outPort[1].node.suspend = out.update2.local_outPort[1].node.suspend 574 : out.update2.outPort[1].node.resume = out.update2.local_outPort[1].node.resume 575 : out.update2.suspend[1].available = out.update2.local_suspend[1].available 576 : out.update2.suspend[1].checkOneDelayedTransitionPerLoop = out.update2.local_suspend[1].checkOneDelayedTransitionPerLoop 577 : out.update2_b.inPort.available = out.update2.local_suspend[2].available 578 : out.update2.suspend[2].checkOneDelayedTransitionPerLoop = out.update2.local_suspend[2].checkOneDelayedTransitionPerLoop 579 : out.update2.suspend[2].fire = out.update2.local_suspend[2].fire 580 : out.update2.suspend[2].node.suspend = out.update2.local_suspend[2].node.suspend 581 : out.update2.suspend[2].node.resume = out.update2.local_suspend[2].node.resume 582 : out.update2_d.outPort.checkOneDelayedTransitionPerLoop = out.update2.local_resume[1].checkOneDelayedTransitionPerLoop 583 : out.update2.resume[1].node.suspend = out.update2.local_resume[1].node.suspend 584 : out.update2.resume[1].node.resume = out.update2.local_resume[1].node.resume 585 : out.update2.entry[1].checkOneDelayedTransitionPerLoop = out.update2.local_entry[1].checkOneDelayedTransitionPerLoop 586 : out.update2.entry[1].fire = out.update2.local_entry[1].fire 587 : out.update2.entry[1].node.suspend = out.update2.local_entry[1].node.suspend 588 : out.update2.entry[1].node.resume = out.update2.local_entry[1].node.resume 589 : out.update2.exit[1].available = out.update2.local_exit[1].available 590 : out.update2.exit[1].fire = out.update2.local_exit[1].fire 591 : out.update2.exit[1].node.suspend = out.update2.local_exit[1].node.suspend 592 : out.update2.exit[1].node.resume = out.update2.local_exit[1].node.resume 593 : out.update2_hour_c.conditionPort = out.update2_hour_c.localCondition 594 : out.update2_ten_min_c.conditionPort = out.update2_ten_min_c.localCondition 595 : out.update2_d.conditionPort = out.update2_d.localCondition 596 : out.update2_b.conditionPort = out.update2_b.localCondition 597 : out.update2_c.conditionPort = out.update2_c.localCondition 598 : out.alarm1_c.conditionPort = out.alarm1_c.localCondition 599 : out.alarm1_a.conditionPort = out.alarm1_a.localCondition 600 : out.alarm2_a.conditionPort = out.alarm2_a.localCondition 601 : out.alarm2_c.conditionPort = out.alarm2_c.localCondition 602 : out.chime_off.inPort[1].fire = out.chime.entry[1].fire 603 : out.chime_off.inPort[1].node.suspend = out.chime.entry[1].node.suspend 604 : out.chime_off.inPort[1].node.resume = out.chime.entry[1].node.resume 605 : out.chime_off_d.inPort.available = out.chime_off.outPort[1].available 606 : out.chime_off_d.inPort.fire = out.chime_off.outPort[1].fire 607 : out.chime_on.inPort[1].fire = out.chime_off_d.outPort.fire 608 : out.chime_on.inPort[1].node.suspend = out.chime_off_d.outPort.node.suspend 609 : out.chime_on.inPort[1].node.resume = out.chime_off_d.outPort.node.resume 610 : out.chime_on_d.inPort.available = out.chime_on.outPort[1].available 611 : out.chime_on_d.inPort.fire = out.chime_on.outPort[1].fire 612 : out.chime_on_d.inPort.node.suspend = out.chime_on.outPort[1].node.suspend 613 : out.chime_on_d.inPort.node.resume = out.chime_on.outPort[1].node.resume 614 : out.chime_on_d.outPort.fire = out.chime_off.inPort[2].fire 615 : out.chime_on_d.outPort.node.suspend = out.chime_off.inPort[2].node.suspend 616 : out.chime_on_d.outPort.node.resume = out.chime_off.inPort[2].node.resume 617 : out.alarm1_off.inPort[1].checkOneDelayedTransitionPerLoop = out.alarm1.entry[1].checkOneDelayedTransitionPerLoop 618 : out.alarm1_off.inPort[1].fire = out.alarm1.entry[1].fire 619 : out.alarm1_off.inPort[1].node.suspend = out.alarm1.entry[1].node.suspend 620 : out.alarm1_off.inPort[1].node.resume = out.alarm1.entry[1].node.resume 621 : out.alarm1_off_d.inPort.available = out.alarm1_off.outPort[1].available 622 : out.alarm1_off_d.inPort.checkOneDelayedTransitionPerLoop = out.alarm1_off.outPort[1].checkOneDelayedTransitionPerLoop 623 : out.alarm1_off_d.inPort.fire = out.alarm1_off.outPort[1].fire 624 : out.alarm1_on_d.outPort.checkOneDelayedTransitionPerLoop = out.alarm1_off.inPort[2].checkOneDelayedTransitionPerLoop 625 : out.alarm1_on_d.outPort.fire = out.alarm1_off.inPort[2].fire 626 : out.alarm1_on_d.outPort.node.suspend = out.alarm1_off.inPort[2].node.suspend 627 : out.alarm1_on_d.outPort.node.resume = out.alarm1_off.inPort[2].node.resume 628 : out.update1.entry[1].checkOneDelayedTransitionPerLoop = out.update1_hour.inPort[1].checkOneDelayedTransitionPerLoop 629 : out.update1.entry[1].fire = out.update1_hour.inPort[1].fire 630 : out.update1.entry[1].node.suspend = out.update1_hour.inPort[1].node.suspend 631 : out.update1.entry[1].node.resume = out.update1_hour.inPort[1].node.resume 632 : out.update1_hour.outPort[1].available = out.update1_hour_c.inPort.available 633 : out.update1_hour.outPort[1].fire = out.update1_hour_c.inPort.fire 634 : out.update1_hour.outPort[1].node.suspend = out.update1_hour_c.inPort.node.suspend 635 : out.update1_hour.outPort[1].node.resume = out.update1_hour_c.inPort.node.resume 636 : out.update1_hour_c.outPort.fire = out.update1_ten_min.inPort[1].fire 637 : out.update1_hour_c.outPort.node.suspend = out.update1_ten_min.inPort[1].node.suspend 638 : out.update1_hour_c.outPort.node.resume = out.update1_ten_min.inPort[1].node.resume 639 : out.update1_ten_min_c.outPort.fire = out.update1_min.inPort[1].fire 640 : out.update1_ten_min_c.outPort.node.suspend = out.update1_min.inPort[1].node.suspend 641 : out.update1_ten_min_c.outPort.node.resume = out.update1_min.inPort[1].node.resume 642 : out.update1_ten_min.outPort[1].available = out.update1_ten_min_c.inPort.available 643 : out.update1_ten_min.outPort[1].fire = out.update1_ten_min_c.inPort.fire 644 : out.update1_ten_min.outPort[1].node.suspend = out.update1_ten_min_c.inPort.node.suspend 645 : out.update1_ten_min.outPort[1].node.resume = out.update1_ten_min_c.inPort.node.resume 646 : out.update1_d.outPort.checkOneDelayedTransitionPerLoop = out.update1.resume[1].checkOneDelayedTransitionPerLoop 647 : out.update1_d.outPort.node.suspend = out.update1.resume[1].node.suspend 648 : out.update1_d.outPort.node.resume = out.update1.resume[1].node.resume 649 : out.update1.suspend[1].available = out.update1_d.inPort.available 650 : out.update1.suspend[1].checkOneDelayedTransitionPerLoop = out.update1_d.inPort.checkOneDelayedTransitionPerLoop 651 : out.update1.suspend[1].node.suspend = out.update1_d.inPort.node.suspend 652 : out.update1.suspend[1].node.resume = out.update1_d.inPort.node.resume 653 : out.update1.suspend[2].available = out.update1_b.inPort.available 654 : out.update1.suspend[2].checkOneDelayedTransitionPerLoop = out.update1_b.inPort.checkOneDelayedTransitionPerLoop 655 : out.update1.suspend[2].fire = out.update1_b.inPort.fire 656 : out.update1.suspend[2].node.suspend = out.update1_b.inPort.node.suspend 657 : out.update1.suspend[2].node.resume = out.update1_b.inPort.node.resume 658 : out.update1_b.outPort.checkOneDelayedTransitionPerLoop = out.alarm1.resume[1].checkOneDelayedTransitionPerLoop 659 : out.update1_b.outPort.fire = out.alarm1.resume[1].fire 660 : out.update1_b.outPort.node.suspend = out.alarm1.resume[1].node.suspend 661 : out.update1_b.outPort.node.resume = out.alarm1.resume[1].node.resume 662 : out.alarm1.resume[2].checkOneDelayedTransitionPerLoop = out.update1_c.outPort.checkOneDelayedTransitionPerLoop 663 : out.alarm1.resume[2].fire = out.update1_c.outPort.fire 664 : out.alarm1.resume[2].node.suspend = out.update1_c.outPort.node.suspend 665 : out.alarm1.resume[2].node.resume = out.update1_c.outPort.node.resume 666 : out.update1_c.inPort.available = out.update1.outPort[1].available 667 : out.update1_c.inPort.fire = out.update1.outPort[1].fire 668 : out.update1_c.inPort.node.suspend = out.update1.outPort[1].node.suspend 669 : out.update1_c.inPort.node.resume = out.update1.outPort[1].node.resume 670 : out.alarm2_off.inPort[1].fire = out.alarm2.entry[1].fire 671 : out.alarm2_off.inPort[1].node.suspend = out.alarm2.entry[1].node.suspend 672 : out.alarm2_off.inPort[1].node.resume = out.alarm2.entry[1].node.resume 673 : out.alarm2_off_d.inPort.available = out.alarm2_off.outPort[1].available 674 : out.alarm2_off_d.inPort.fire = out.alarm2_off.outPort[1].fire 675 : out.alarm2_on.inPort[1].fire = out.alarm2_off_d.outPort.fire 676 : out.alarm2_on.inPort[1].node.suspend = out.alarm2_off_d.outPort.node.suspend 677 : out.alarm2_on.inPort[1].node.resume = out.alarm2_off_d.outPort.node.resume 678 : out.alarm2_on_d.inPort.available = out.alarm2_on.outPort[1].available 679 : out.alarm2_on_d.inPort.fire = out.alarm2_on.outPort[1].fire 680 : out.alarm2_on_d.inPort.node.suspend = out.alarm2_on.outPort[1].node.suspend 681 : out.alarm2_on_d.inPort.node.resume = out.alarm2_on.outPort[1].node.resume 682 : out.alarm2_on_d.outPort.fire = out.alarm2_off.inPort[2].fire 683 : out.alarm2_on_d.outPort.node.suspend = out.alarm2_off.inPort[2].node.suspend 684 : out.alarm2_on_d.outPort.node.resume = out.alarm2_off.inPort[2].node.resume 685 : out.update2.entry[1].checkOneDelayedTransitionPerLoop = out.update2_hour.inPort[1].checkOneDelayedTransitionPerLoop 686 : out.update2.entry[1].fire = out.update2_hour.inPort[1].fire 687 : out.update2.entry[1].node.suspend = out.update2_hour.inPort[1].node.suspend 688 : out.update2.entry[1].node.resume = out.update2_hour.inPort[1].node.resume 689 : out.update2_hour.outPort[1].available = out.update2_hour_c.inPort.available 690 : out.update2_hour.outPort[1].fire = out.update2_hour_c.inPort.fire 691 : out.update2_hour.outPort[1].node.suspend = out.update2_hour_c.inPort.node.suspend 692 : out.update2_hour.outPort[1].node.resume = out.update2_hour_c.inPort.node.resume 693 : out.update2_hour_c.outPort.fire = out.update2_ten_min.inPort[1].fire 694 : out.update2_hour_c.outPort.node.suspend = out.update2_ten_min.inPort[1].node.suspend 695 : out.update2_hour_c.outPort.node.resume = out.update2_ten_min.inPort[1].node.resume 696 : out.update2_min.outPort[1].available = out.update2.exit[1].available 697 : out.update2_min.outPort[1].fire = out.update2.exit[1].fire 698 : out.update2_min.outPort[1].node.suspend = out.update2.exit[1].node.suspend 699 : out.update2_min.outPort[1].node.resume = out.update2.exit[1].node.resume 700 : out.update2_ten_min_c.outPort.fire = out.update2_min.inPort[1].fire 701 : out.update2_ten_min_c.outPort.node.suspend = out.update2_min.inPort[1].node.suspend 702 : out.update2_ten_min_c.outPort.node.resume = out.update2_min.inPort[1].node.resume 703 : out.update2_ten_min.outPort[1].available = out.update2_ten_min_c.inPort.available 704 : out.update2_ten_min.outPort[1].fire = out.update2_ten_min_c.inPort.fire 705 : out.update2_ten_min.outPort[1].node.suspend = out.update2_ten_min_c.inPort.node.suspend 706 : out.update2_ten_min.outPort[1].node.resume = out.update2_ten_min_c.inPort.node.resume 707 : out.update2_d.outPort.checkOneDelayedTransitionPerLoop = out.update2.resume[1].checkOneDelayedTransitionPerLoop 708 : out.update2_d.outPort.node.suspend = out.update2.resume[1].node.suspend 709 : out.update2_d.outPort.node.resume = out.update2.resume[1].node.resume 710 : out.update2.suspend[1].available = out.update2_d.inPort.available 711 : out.update2.suspend[1].checkOneDelayedTransitionPerLoop = out.update2_d.inPort.checkOneDelayedTransitionPerLoop 712 : out.update2.suspend[1].node.suspend = out.update2_d.inPort.node.suspend 713 : out.update2.suspend[1].node.resume = out.update2_d.inPort.node.resume 714 : out.update2.suspend[2].available = out.update2_b.inPort.available 715 : out.update2.suspend[2].checkOneDelayedTransitionPerLoop = out.update2_b.inPort.checkOneDelayedTransitionPerLoop 716 : out.update2.suspend[2].fire = out.update2_b.inPort.fire 717 : out.update2.suspend[2].node.suspend = out.update2_b.inPort.node.suspend 718 : out.update2.suspend[2].node.resume = out.update2_b.inPort.node.resume 719 : out.update2_b.outPort.fire = out.alarm2.resume[1].fire 720 : out.update2_b.outPort.node.suspend = out.alarm2.resume[1].node.suspend 721 : out.update2_b.outPort.node.resume = out.alarm2.resume[1].node.resume 722 : out.alarm2.resume[2].fire = out.update2_c.outPort.fire 723 : out.alarm2.resume[2].node.suspend = out.update2_c.outPort.node.suspend 724 : out.alarm2.resume[2].node.resume = out.update2_c.outPort.node.resume 725 : out.update2_c.inPort.available = out.update2.outPort[1].available 726 : out.update2_c.inPort.fire = out.update2.outPort[1].fire 727 : out.update2_c.inPort.node.suspend = out.update2.outPort[1].node.suspend 728 : out.update2_c.inPort.node.resume = out.update2.outPort[1].node.resume 729 : out.alarm1.suspend[1].available = out.alarm1_c.inPort.available 730 : out.alarm1.suspend[1].checkOneDelayedTransitionPerLoop = out.alarm1_c.inPort.checkOneDelayedTransitionPerLoop 731 : out.alarm1.suspend[1].fire = out.alarm1_c.inPort.fire 732 : out.alarm1.suspend[1].node.suspend = out.alarm1_c.inPort.node.suspend 733 : out.alarm1.suspend[1].node.resume = out.alarm1_c.inPort.node.resume 734 : out.alarm1_c.outPort.checkOneDelayedTransitionPerLoop = out.update1.inPort[1].checkOneDelayedTransitionPerLoop 735 : out.alarm1_c.outPort.fire = out.update1.inPort[1].fire 736 : out.alarm1_c.outPort.node.suspend = out.update1.inPort[1].node.suspend 737 : out.alarm1_c.outPort.node.resume = out.update1.inPort[1].node.resume 738 : out.alarm1_a.inPort.available = out.alarm1.suspend[2].available 739 : out.alarm1_a.inPort.checkOneDelayedTransitionPerLoop = out.alarm1.suspend[2].checkOneDelayedTransitionPerLoop 740 : out.alarm1_a.inPort.fire = out.alarm1.suspend[2].fire 741 : out.alarm1_a.inPort.node.suspend = out.alarm1.suspend[2].node.suspend 742 : out.alarm1_a.inPort.node.resume = out.alarm1.suspend[2].node.resume 743 : out.alarm1_a.outPort.fire = out.alarm2.resume[3].fire 744 : out.alarm1_a.outPort.node.suspend = out.alarm2.resume[3].node.suspend 745 : out.alarm1_a.outPort.node.resume = out.alarm2.resume[3].node.resume 746 : out.alarm2_a.inPort.available = out.alarm2.suspend[1].available 747 : out.alarm2_a.inPort.fire = out.alarm2.suspend[1].fire 748 : out.alarm2_a.inPort.node.suspend = out.alarm2.suspend[1].node.suspend 749 : out.alarm2_a.inPort.node.resume = out.alarm2.suspend[1].node.resume 750 : out.alarm2_a.outPort.fire = out.chime.resume[1].fire 751 : out.alarm2_a.outPort.node.suspend = out.chime.resume[1].node.suspend 752 : out.alarm2_a.outPort.node.resume = out.chime.resume[1].node.resume 753 : out.alarm2_c.inPort.available = out.alarm2.suspend[2].available 754 : out.alarm2_c.inPort.fire = out.alarm2.suspend[2].fire 755 : out.alarm2_c.inPort.node.suspend = out.alarm2.suspend[2].node.suspend 756 : out.alarm2_c.inPort.node.resume = out.alarm2.suspend[2].node.resume 757 : out.alarm2_c.outPort.checkOneDelayedTransitionPerLoop = out.update2.inPort[1].checkOneDelayedTransitionPerLoop 758 : out.alarm2_c.outPort.fire = out.update2.inPort[1].fire 759 : out.alarm2_c.outPort.node.suspend = out.update2.inPort[1].node.suspend 760 : out.alarm2_c.outPort.node.resume = out.update2.inPort[1].node.resume 761 : out.alarm1_on.outPort[1].available = out.alarm1_on_d.inPort.available 762 : out.alarm1_on.outPort[1].fire = out.alarm1_on_d.inPort.fire 763 : out.alarm1_on.outPort[1].node.suspend = out.alarm1_on_d.inPort.node.suspend 764 : out.alarm1_on.outPort[1].node.resume = out.alarm1_on_d.inPort.node.resume 765 : out.alarm1_off_d.outPort.checkOneDelayedTransitionPerLoop = out.alarm1_on.inPort[1].checkOneDelayedTransitionPerLoop 766 : out.alarm1_off_d.outPort.fire = out.alarm1_on.inPort[1].fire 767 : out.alarm1_off_d.outPort.node.suspend = out.alarm1_on.inPort[1].node.suspend 768 : out.alarm1_off_d.outPort.node.resume = out.alarm1_on.inPort[1].node.resume 769 : out.entry[1].checkOneDelayedTransitionPerLoop = out.local_entry[1].checkOneDelayedTransitionPerLoop 770 : out.entry[1].checkOneDelayedTransitionPerLoop = out.alarm1.resume[3].checkOneDelayedTransitionPerLoop 771 : out.entry[1].fire = out.local_entry[1].fire 772 : out.entry[1].fire = out.alarm1.resume[3].fire 773 : out.entry[1].node.suspend = out.local_entry[1].node.suspend 774 : out.entry[1].node.suspend = out.alarm1.resume[3].node.suspend 775 : out.entry[1].node.resume = out.local_entry[1].node.resume 776 : out.entry[1].node.resume = out.alarm1.resume[3].node.resume 777 : out.exit[1].available = out.local_exit[1].available 778 : out.exit[1].available = out.chime.suspend[1].available 779 : out.exit[1].fire = out.local_exit[1].fire 780 : out.exit[1].fire = out.chime.suspend[1].fire 781 : out.exit[1].node.suspend = out.local_exit[1].node.suspend 782 : out.exit[1].node.suspend = out.chime.suspend[1].node.suspend 783 : out.exit[1].node.resume = out.local_exit[1].node.resume 784 : out.exit[1].node.resume = out.chime.suspend[1].node.resume 785 : out.edge5.y = out.chime_off_d.conditionPort 786 : out.edge5.y = out.chime_on_d.conditionPort 787 : out.edge6.y = out.update2_d.conditionPort 788 : out.edge6.y = out.alarm2_on_d.conditionPort 789 : out.edge6.y = out.alarm2_off_d.conditionPort 790 : out.edge10.y = out.update1_d.conditionPort 791 : out.edge10.y = out.alarm1_on_d.conditionPort 792 : out.edge10.y = out.alarm1_off_d.conditionPort 793 : out.update1_c.conditionPort = out.edge1.y 794 : out.update1_c.conditionPort = out.update1_ten_min_c.conditionPort 795 : out.update1_c.conditionPort = out.update1_hour_c.conditionPort 796 : out.edge8.y = out.update2_ten_min_c.conditionPort 797 : out.edge8.y = out.update2_c.conditionPort 798 : out.edge8.y = out.update2_hour_c.conditionPort 799 : out.edge2.y = out.alarm2_c.conditionPort 800 : out.edge2.y = out.alarm1_c.conditionPort 801 : out.edge3.y = out.alarm1_a.conditionPort 802 : out.edge4.y = out.alarm2_a.conditionPort 803 : out.update2_b.conditionPort = out.edge9.y 804 : out.edge7.y = out.update1_b.conditionPort 805 : out.update1_min.outPort[1].available = out.update1.exit[1].available 806 : out.update1_min.outPort[1].fire = out.update1.exit[1].fire 807 : out.update1_min.outPort[1].node.suspend = out.update1.exit[1].node.suspend 808 : out.update1_min.outPort[1].node.resume = out.update1.exit[1].node.resume 809 : true = out.quantity2.u[1] 810 : true = out.quantity2.u[2] 811 : true = out.quantity2.u[3] 812 : out.alarm2_setting.u = out.quantity2.y 813 : out.alarm1_setting.u = out.quantity1.y 814 : out.quantity1.u[1] = true 815 : out.local_outPort[1].checkOneDelayedTransitionPerLoop = out.quantity1.u[2] 816 : out.local_outPort[1].checkOneDelayedTransitionPerLoop = out.quantity1.u[3] 817 : true = out.or1.u[1] 818 : true = out.or1.u[2] 819 : out.or2.u[1] = 0.0 820 : true = out.or2.u[2] 821 : out.inPort[1].checkOneDelayedTransitionPerLoop = out.local_inPort[1].checkOneDelayedTransitionPerLoop 822 : out.inPort[1].fire = out.local_inPort[1].fire 823 : out.inPort[1].node.suspend = out.local_inPort[1].node.suspend 824 : out.inPort[1].node.resume = out.local_inPort[1].node.resume 825 : out_a.inPort.available = out.local_outPort[1].available 826 : out.outPort[1].fire = out.local_outPort[1].fire 827 : out.outPort[1].node.suspend = out.local_outPort[1].node.suspend 828 : out.outPort[1].node.resume = out.local_outPort[1].node.resume 829 : out.suspend[1].available = out.local_suspend[1].available 830 : out.suspend[1].checkOneDelayedTransitionPerLoop = out.local_suspend[1].checkOneDelayedTransitionPerLoop 831 : out.suspend[1].fire = out.local_suspend[1].fire 832 : out.suspend[1].node.suspend = out.local_suspend[1].node.suspend 833 : out.suspend[1].node.resume = out.local_suspend[1].node.resume 834 : stopwatch.displayrun.inPort[1].checkOneDelayedTransitionPerLoop = stopwatch.displayrun.local_inPort[1].checkOneDelayedTransitionPerLoop 835 : stopwatch.displayrun.inPort[1].fire = stopwatch.displayrun.local_inPort[1].fire 836 : stopwatch.displayrun_d.inPort.available = stopwatch.displayrun.local_outPort[1].available 837 : stopwatch.displayrun.outPort[1].fire = stopwatch.displayrun.local_outPort[1].fire 838 : stopwatch.displayrun.outPort[1].node.suspend = stopwatch.displayrun.local_outPort[1].node.suspend 839 : stopwatch.displayrun.outPort[1].node.resume = stopwatch.displayrun.local_outPort[1].node.resume 840 : stopwatch.displayrun.entry[1].checkOneDelayedTransitionPerLoop = stopwatch.displayrun.local_entry[1].checkOneDelayedTransitionPerLoop 841 : stopwatch.displayrun.entry[1].fire = stopwatch.displayrun.local_entry[1].fire 842 : stopwatch.displayrun.entry[1].node.suspend = stopwatch.displayrun.local_entry[1].node.suspend 843 : stopwatch.displayrun.entry[1].node.resume = stopwatch.displayrun.local_entry[1].node.resume 844 : stopwatch.displayrun.entry[2].checkOneDelayedTransitionPerLoop = stopwatch.displayrun.local_entry[2].checkOneDelayedTransitionPerLoop 845 : stopwatch.displayrun.entry[2].fire = stopwatch.displayrun.local_entry[2].fire 846 : stopwatch.displayrun.entry[2].node.suspend = stopwatch.displayrun.local_entry[2].node.suspend 847 : stopwatch.displayrun.entry[2].node.resume = stopwatch.displayrun.local_entry[2].node.resume 848 : stopwatch.displayrun.exit[1].available = stopwatch.displayrun.local_exit[1].available 849 : stopwatch.displayrun.exit[1].checkOneDelayedTransitionPerLoop = stopwatch.displayrun.local_exit[1].checkOneDelayedTransitionPerLoop 850 : stopwatch.displayrun.exit[1].fire = stopwatch.displayrun.local_exit[1].fire 851 : stopwatch.displayrun.exit[1].node.suspend = stopwatch.displayrun.local_exit[1].node.suspend 852 : stopwatch.displayrun.exit[1].node.resume = stopwatch.displayrun.local_exit[1].node.resume 853 : stopwatch.off.outPort[1].available = stopwatch.displayrun.local_exit[2].available 854 : stopwatch.displayrun.exit[2].fire = stopwatch.displayrun.local_exit[2].fire 855 : stopwatch.displayrun.exit[2].node.suspend = stopwatch.displayrun.local_exit[2].node.suspend 856 : stopwatch.displayrun.exit[2].node.resume = stopwatch.displayrun.local_exit[2].node.resume 857 : stopwatch.reg_d.conditionPort = stopwatch.reg_d.localCondition 858 : stopwatch.lap_d.conditionPort = stopwatch.lap_d.localCondition 859 : stopwatch.zero_b.conditionPort = stopwatch.zero_b.localCondition 860 : stopwatch.on_b.conditionPort = stopwatch.on_b.localCondition 861 : stopwatch.off_b.conditionPort = stopwatch.off_b.localCondition 862 : stopwatch.displayrun_d.conditionPort = stopwatch.displayrun_d.localCondition 863 : stopwatch.stopwatch_lap.set = stopwatch.stopwatch_lap.local_set 864 : stopwatch.displayrun.local_entry[1].checkOneDelayedTransitionPerLoop = stopwatch.reg.inPort[1].checkOneDelayedTransitionPerLoop 865 : stopwatch.reg.inPort[1].fire = stopwatch.displayrun.entry[1].fire 866 : stopwatch.reg.inPort[1].node.suspend = stopwatch.displayrun.entry[1].node.suspend 867 : stopwatch.reg.inPort[1].node.resume = stopwatch.displayrun.entry[1].node.resume 868 : stopwatch.zero_b.inPort.available = stopwatch.zero.outPort[1].available 869 : stopwatch.zero_b.inPort.checkOneDelayedTransitionPerLoop = stopwatch.zero.outPort[1].checkOneDelayedTransitionPerLoop 870 : stopwatch.zero_b.inPort.fire = stopwatch.zero.outPort[1].fire 871 : stopwatch.zero_b.inPort.node.suspend = stopwatch.zero.outPort[1].node.suspend 872 : stopwatch.zero_b.inPort.node.resume = stopwatch.zero.outPort[1].node.resume 873 : stopwatch.zero_b.outPort.checkOneDelayedTransitionPerLoop = stopwatch.displayrun.inPort[1].checkOneDelayedTransitionPerLoop 874 : stopwatch.zero_b.outPort.fire = stopwatch.displayrun.inPort[1].fire 875 : stopwatch.zero_b.outPort.node.suspend = stopwatch.displayrun.inPort[1].node.suspend 876 : stopwatch.zero_b.outPort.node.resume = stopwatch.displayrun.inPort[1].node.resume 877 : stopwatch.reg_d.outPort.checkOneDelayedTransitionPerLoop = stopwatch.lap.inPort[1].checkOneDelayedTransitionPerLoop 878 : stopwatch.reg_d.outPort.fire = stopwatch.lap.inPort[1].fire 879 : stopwatch.displayrun.local_entry[2].checkOneDelayedTransitionPerLoop = stopwatch.on.inPort[1].checkOneDelayedTransitionPerLoop 880 : stopwatch.on.inPort[1].fire = stopwatch.displayrun.entry[2].fire 881 : stopwatch.on.inPort[1].node.suspend = stopwatch.displayrun.entry[2].node.suspend 882 : stopwatch.on.inPort[1].node.resume = stopwatch.displayrun.entry[2].node.resume 883 : stopwatch.on_b.inPort.available = stopwatch.on.outPort[1].available 884 : stopwatch.on_b.inPort.checkOneDelayedTransitionPerLoop = stopwatch.on.outPort[1].checkOneDelayedTransitionPerLoop 885 : stopwatch.on_b.inPort.fire = stopwatch.on.outPort[1].fire 886 : stopwatch.on_b.outPort.checkOneDelayedTransitionPerLoop = stopwatch.off.inPort[1].checkOneDelayedTransitionPerLoop 887 : stopwatch.on_b.outPort.fire = stopwatch.off.inPort[1].fire 888 : stopwatch.on_b.outPort.node.suspend = stopwatch.off.inPort[1].node.suspend 889 : stopwatch.on_b.outPort.node.resume = stopwatch.off.inPort[1].node.resume 890 : stopwatch.lap_d.inPort.available = stopwatch.lap.outPort[1].available 891 : stopwatch.lap_d.inPort.fire = stopwatch.lap.outPort[1].fire 892 : stopwatch.lap_d.inPort.node.suspend = stopwatch.lap.outPort[1].node.suspend 893 : stopwatch.lap_d.inPort.node.resume = stopwatch.lap.outPort[1].node.resume 894 : stopwatch.lap_d.outPort.checkOneDelayedTransitionPerLoop = stopwatch.reg.inPort[2].checkOneDelayedTransitionPerLoop 895 : stopwatch.lap_d.outPort.fire = stopwatch.reg.inPort[2].fire 896 : stopwatch.lap_d.outPort.node.suspend = stopwatch.reg.inPort[2].node.suspend 897 : stopwatch.lap_d.outPort.node.resume = stopwatch.reg.inPort[2].node.resume 898 : stopwatch.off_b.outPort.checkOneDelayedTransitionPerLoop = stopwatch.on.inPort[2].checkOneDelayedTransitionPerLoop 899 : stopwatch.off_b.outPort.fire = stopwatch.on.inPort[2].fire 900 : stopwatch.off_b.outPort.node.suspend = stopwatch.on.inPort[2].node.suspend 901 : stopwatch.off_b.outPort.node.resume = stopwatch.on.inPort[2].node.resume 902 : stopwatch.displayrun_d.inPort.available = stopwatch.displayrun.outPort[1].available 903 : stopwatch.displayrun_d.inPort.fire = stopwatch.displayrun.outPort[1].fire 904 : stopwatch.displayrun_d.inPort.node.suspend = stopwatch.displayrun.outPort[1].node.suspend 905 : stopwatch.displayrun_d.inPort.node.resume = stopwatch.displayrun.outPort[1].node.resume 906 : stopwatch.entry[1].checkOneDelayedTransitionPerLoop = stopwatch.local_entry[1].checkOneDelayedTransitionPerLoop 907 : stopwatch.entry[1].checkOneDelayedTransitionPerLoop = stopwatch.zero.inPort[1].checkOneDelayedTransitionPerLoop 908 : stopwatch.entry[1].fire = stopwatch.local_entry[1].fire 909 : stopwatch.entry[1].fire = stopwatch.zero.inPort[1].fire 910 : stopwatch.entry[1].node.suspend = stopwatch.local_entry[1].node.suspend 911 : stopwatch.entry[1].node.suspend = stopwatch.zero.inPort[1].node.suspend 912 : stopwatch.entry[1].node.resume = stopwatch.local_entry[1].node.resume 913 : stopwatch.entry[1].node.resume = stopwatch.zero.inPort[1].node.resume 914 : stopwatch.displayrun_d.outPort.checkOneDelayedTransitionPerLoop = stopwatch.zero.inPort[2].checkOneDelayedTransitionPerLoop 915 : stopwatch.displayrun_d.outPort.fire = stopwatch.zero.inPort[2].fire 916 : stopwatch.displayrun_d.outPort.node.suspend = stopwatch.zero.inPort[2].node.suspend 917 : stopwatch.displayrun_d.outPort.node.resume = stopwatch.zero.inPort[2].node.resume 918 : stopwatch.edge2.y = stopwatch.reg_d.conditionPort 919 : stopwatch.edge2.y = stopwatch.displayrun_d.conditionPort 920 : stopwatch.edge2.y = stopwatch.lap_d.conditionPort 921 : stopwatch.zero_b.conditionPort = stopwatch.on_b.conditionPort 922 : stopwatch.zero_b.conditionPort = stopwatch.edge1.y 923 : stopwatch.zero_b.conditionPort = stopwatch.off_b.conditionPort 924 : stopwatch.time_increment_trigger.y = stopwatch.increment_time.u[1] 925 : stopwatch.freeze_time.u[1] = true 926 : stopwatch.freeze_time.u[1] = stopwatch.increment_time.u[2] 927 : stopwatch.increment_time.y = stopwatch.stopwatch_clock.trigger 928 : false = stopwatch.select_display_of_time_or_lap_time.u[3] 929 : stopwatch.stopwatch_lap.trigger = stopwatch.freeze_time.y 930 : stopwatch.stopwatch_clock.y = stopwatch.stopwatch_lap.set 931 : true = stopwatch.freeze_time.u[2] 932 : true = stopwatch.select_display_of_time_or_lap_time.u[2] 933 : stopwatch.reg.outPort[1].available = stopwatch.displayrun.exit[1].available 934 : stopwatch.reg.outPort[1].checkOneDelayedTransitionPerLoop = stopwatch.displayrun.exit[1].checkOneDelayedTransitionPerLoop 935 : stopwatch.reg.outPort[1].fire = stopwatch.displayrun.exit[1].fire 936 : stopwatch.reg.outPort[1].node.suspend = stopwatch.displayrun.exit[1].node.suspend 937 : stopwatch.reg.outPort[1].node.resume = stopwatch.displayrun.exit[1].node.resume 938 : stopwatch.off.outPort[1].available = stopwatch.displayrun.exit[2].available 939 : stopwatch.off.outPort[1].fire = stopwatch.displayrun.exit[2].fire 940 : stopwatch.off.outPort[1].node.suspend = stopwatch.displayrun.exit[2].node.suspend 941 : stopwatch.off.outPort[1].node.resume = stopwatch.displayrun.exit[2].node.resume 942 : stopwatch.off.outPort[2].available = stopwatch.off_b.inPort.available 943 : stopwatch.off.outPort[2].fire = stopwatch.off_b.inPort.fire 944 : stopwatch.off.outPort[2].node.suspend = stopwatch.off_b.inPort.node.suspend 945 : stopwatch.off.outPort[2].node.resume = stopwatch.off_b.inPort.node.resume 946 : stopwatch.reg.outPort[2].available = stopwatch.reg_d.inPort.available 947 : stopwatch.reg.outPort[2].checkOneDelayedTransitionPerLoop = stopwatch.reg_d.inPort.checkOneDelayedTransitionPerLoop 948 : stopwatch.reg.outPort[2].fire = stopwatch.reg_d.inPort.fire 949 : stopwatch.reg.outPort[2].node.suspend = stopwatch.reg_d.inPort.node.suspend 950 : stopwatch.reg.outPort[2].node.resume = stopwatch.reg_d.inPort.node.resume 951 : stopwatch.suspend[1].available = stopwatch.local_suspend[1].available 952 : stopwatch.suspend[1].checkOneDelayedTransitionPerLoop = stopwatch.local_suspend[1].checkOneDelayedTransitionPerLoop 953 : stopwatch.suspend[1].fire = stopwatch.local_suspend[1].fire 954 : stopwatch.suspend[1].node.suspend = stopwatch.local_suspend[1].node.suspend 955 : stopwatch.suspend[1].node.resume = stopwatch.local_suspend[1].node.resume 956 : stopwatch.resume[1].checkOneDelayedTransitionPerLoop = stopwatch.local_resume[1].checkOneDelayedTransitionPerLoop 957 : stopwatch.resume[1].fire = stopwatch.local_resume[1].fire 958 : stopwatch.resume[1].node.suspend = stopwatch.local_resume[1].node.suspend 959 : stopwatch.resume[1].node.resume = stopwatch.local_resume[1].node.resume 960 : regular_a.conditionPort = regular_a.localCondition 961 : out_a.conditionPort = out_a.localCondition 962 : stopwatch_a.conditionPort = stopwatch_a.localCondition 963 : battery_inserted.conditionPort = battery_inserted.localCondition 964 : t_hits_t1.conditionPort = t_hits_t1.localCondition 965 : pre1.y = regular_time_c.localCondition 966 : wait_c_up_1.conditionPort = wait_c_up_1.localCondition 967 : alarmTrigger.integerToBoolean.u = alarmTrigger.sum.y 968 : true = alarmTrigger.or1.u[1] 969 : alarmTrigger.or3.u[1] = alarmTrigger.and1.y 970 : alarmTrigger.or3.u[1] = alarmTrigger.P1 971 : alarmTrigger.or3.u[2] = alarmTrigger.and2.y 972 : alarmTrigger.or3.u[2] = alarmTrigger.P2 973 : true = alarmTrigger.and3.u[2] 974 : true = alarmTrigger.and2.u[1] 975 : true = alarmTrigger.and3.u[1] 976 : true = alarmTrigger.and1.u[1] 977 : true = alarmTrigger.or2.u[1] 978 : alarmTrigger.integerToBoolean.y = alarmTrigger.or1.u[2] 979 : alarmTrigger.integerToBoolean.y = alarmTrigger.not3.u 980 : alarmTrigger.or1.y = alarmTrigger.and1.u[2] 981 : alarmTrigger.not3.y = alarmTrigger.and3.u[3] 982 : alarmTrigger.or3.y = alarmTrigger.alarms_beep 983 : alarmTrigger.P = alarmTrigger.and3.y 984 : alarmTrigger.P = alarmTrigger.or3.u[3] 985 : alarmTrigger.T1 = alarmTrigger.sum.u[1] 986 : alarmTrigger.T2 = alarmTrigger.sum.u[2] 987 : alarmTrigger.T_hits_T1 = alarmTrigger.and3.u[4] 988 : alarmTrigger.T_hits_T1 = alarmTrigger.and1.u[3] 989 : alarmTrigger.or2.y = alarmTrigger.and2.u[2] 990 : alarmTrigger.T_hits_T2 = alarmTrigger.and2.u[3] 991 : watchController.Time.or1.y = watchController.Time.clock.local_reset 992 : watchController.Time.clock.set = watchController.Time.clock.local_set 993 : watchController.Time.clock.u = watchController.Time.time_scale.y 994 : watchController.Time.time_update_frequency.y = watchController.Time.clock.trigger 995 : watchController.Time.time_to_display.y = watchController.Time.y 996 : watchController.Time.pre1.y = watchController.Time.and1.u[1] 997 : watchController.Time.or1.y = watchController.Time.clock.reset 998 : watchController.Time.and1.y = watchController.Time.or1.u[1] 999 : watchController.displayController.large_digit_1 = watchController.displayController.digit1_decoder.y 1000 : watchController.displayController.digit2_decoder.y = watchController.displayController.large_digit_2 1001 : watchController.displayController.digit3_decoder.y = watchController.displayController.large_digit_3 1002 : watchController.displayController.digit4_decoder.y = watchController.displayController.large_digit_4 1003 : watchController.displayController.small_digit_decoder.y = watchController.displayController.small_digit 1004 : watchController.displayController.text_digit_decoder.y = watchController.displayController.text_digit 1005 : watchController.displayController.time_mode = watchController.displayController.and2.u2 1006 : watchController.displayController.time_mode = watchController.displayController.and1.u2 1007 : watchController.displayController.not1.y = watchController.displayController.and2.u1 1008 : watchController.displayController.and1.y = watchController.displayController.text_digit_decoder.u[1] 1009 : watchController.displayController.and1.y = watchController.displayController.small_digit_decoder.u[1] 1010 : watchController.displayController.and1.y = watchController.displayController.digit4_decoder.u[1] 1011 : watchController.displayController.and1.y = watchController.displayController.digit3_decoder.u[1] 1012 : watchController.displayController.and1.y = watchController.displayController.digit2_decoder.u[1] 1013 : watchController.displayController.and1.y = watchController.displayController.digit1_decoder.u[1] 1014 : watchController.displayController.and2.y = watchController.displayController.text_digit_decoder.u[2] 1015 : watchController.displayController.and2.y = watchController.displayController.small_digit_decoder.u[2] 1016 : watchController.displayController.and2.y = watchController.displayController.digit4_decoder.u[2] 1017 : watchController.displayController.and2.y = watchController.displayController.digit3_decoder.u[2] 1018 : watchController.displayController.and2.y = watchController.displayController.digit2_decoder.u[2] 1019 : watchController.displayController.and2.y = watchController.displayController.digit1_decoder.u[2] 1020 : watchController.displayController.date_mode = watchController.displayController.text_digit_decoder.u[3] 1021 : watchController.displayController.date_mode = watchController.displayController.small_digit_decoder.u[3] 1022 : watchController.displayController.date_mode = watchController.displayController.digit4_decoder.u[3] 1023 : watchController.displayController.date_mode = watchController.displayController.digit3_decoder.u[3] 1024 : watchController.displayController.date_mode = watchController.displayController.digit2_decoder.u[3] 1025 : watchController.displayController.date_mode = watchController.displayController.digit1_decoder.u[3] 1026 : watchController.displayController.not1.u = watchController.displayController.booleanExpression.y 1027 : watchController.displayController.not1.u = watchController.displayController.and1.u1 1028 : watchController.displayController.time_mode = watchController.time_mode.y 1029 : watchController.Time.y = watchController.displayController.time_signal 1030 : watchController.date_mode.y = watchController.displayController.date_mode 1031 : watchController.displayController.large_digit_1 = watchController.large_digit1 1032 : watchController.displayController.large_digit_2 = watchController.large_digit2 1033 : watchController.displayController.large_digit_3 = watchController.large_digit3 1034 : watchController.displayController.large_digit_4 = watchController.large_digit4 1035 : watchController.displayController.small_digit = watchController.small_digit 1036 : watchController.displayController.text_digit = watchController.text_digit 1037 : or2.y = showValue3.active2 1038 : alarm1_enabled_d.inPort.available = alarm1_status_enabled.outPort[1].available 1039 : alarm1_enabled_d.inPort.fire = alarm1_status_enabled.outPort[1].fire 1040 : alarm1_status_enabled.inPort[1].checkOneDelayedTransitionPerLoop = alarm1_disabled_d.outPort.checkOneDelayedTransitionPerLoop 1041 : alarm1_status_enabled.inPort[1].fire = alarm1_disabled_d.outPort.fire 1042 : alarm1_status_enabled.inPort[1].node.suspend = alarm1_disabled_d.outPort.node.suspend 1043 : alarm1_status_enabled.inPort[1].node.resume = alarm1_disabled_d.outPort.node.resume 1044 : alarm1_disabled_d.inPort.available = alarm1_status_disabled.outPort[1].available 1045 : alarm1_disabled_d.inPort.checkOneDelayedTransitionPerLoop = alarm1_status_disabled.outPort[1].checkOneDelayedTransitionPerLoop 1046 : alarm1_disabled_d.inPort.fire = alarm1_status_disabled.outPort[1].fire 1047 : alarm1_disabled_d.inPort.node.suspend = alarm1_status_disabled.outPort[1].node.suspend 1048 : alarm1_disabled_d.inPort.node.resume = alarm1_status_disabled.outPort[1].node.resume 1049 : t_hits_t1_p.outPort.fire = both_beep.inPort[1].fire 1050 : t_hits_t1_p.outPort.node.suspend = both_beep.inPort[1].node.suspend 1051 : t_hits_t1_p.outPort.node.resume = both_beep.inPort[1].node.resume 1052 : t_hits_t2_p2.outPort.fire = alarm2_beeps.inPort[1].fire 1053 : t_hits_t2_p2.outPort.node.suspend = alarm2_beeps.inPort[1].node.suspend 1054 : t_hits_t2_p2.outPort.node.resume = alarm2_beeps.inPort[1].node.resume 1055 : alarms_beep.entry[1].checkOneDelayedTransitionPerLoop = choose_alarm.inPort[1].checkOneDelayedTransitionPerLoop 1056 : alarms_beep.entry[1].fire = choose_alarm.inPort[1].fire 1057 : alarms_beep.entry[1].node.suspend = choose_alarm.inPort[1].node.suspend 1058 : alarms_beep.entry[1].node.resume = choose_alarm.inPort[1].node.resume 1059 : alarm2_enabled_d.inPort.available = alarm2_status_enabled.outPort[1].available 1060 : alarm2_enabled_d.inPort.fire = alarm2_status_enabled.outPort[1].fire 1061 : alarm2_status_enabled.inPort[1].checkOneDelayedTransitionPerLoop = alarm2_disabled_d.outPort.checkOneDelayedTransitionPerLoop 1062 : alarm2_status_enabled.inPort[1].fire = alarm2_disabled_d.outPort.fire 1063 : alarm2_status_enabled.inPort[1].node.suspend = alarm2_disabled_d.outPort.node.suspend 1064 : alarm2_status_enabled.inPort[1].node.resume = alarm2_disabled_d.outPort.node.resume 1065 : alarm2_disabled_d.inPort.available = alarm2_status_disabled.outPort[1].available 1066 : alarm2_disabled_d.inPort.checkOneDelayedTransitionPerLoop = alarm2_status_disabled.outPort[1].checkOneDelayedTransitionPerLoop 1067 : alarm2_disabled_d.inPort.fire = alarm2_status_disabled.outPort[1].fire 1068 : alarm2_disabled_d.inPort.node.suspend = alarm2_status_disabled.outPort[1].node.suspend 1069 : alarm2_disabled_d.inPort.node.resume = alarm2_status_disabled.outPort[1].node.resume 1070 : light_on_b_up.inPort.available = light_on.outPort[1].available 1071 : light_on_b_up.inPort.fire = light_on.outPort[1].fire 1072 : light_on.inPort[1].checkOneDelayedTransitionPerLoop = light_off_b_down.outPort.checkOneDelayedTransitionPerLoop 1073 : light_on.inPort[1].fire = light_off_b_down.outPort.fire 1074 : light_on.inPort[1].node.suspend = light_off_b_down.outPort.node.suspend 1075 : light_on.inPort[1].node.resume = light_off_b_down.outPort.node.resume 1076 : light_off_b_down.inPort.available = light_off.outPort[1].available 1077 : light_off_b_down.inPort.checkOneDelayedTransitionPerLoop = light_off.outPort[1].checkOneDelayedTransitionPerLoop 1078 : light_off_b_down.inPort.fire = light_off.outPort[1].fire 1079 : light_off_b_down.inPort.node.suspend = light_off.outPort[1].node.suspend 1080 : light_off_b_down.inPort.node.resume = light_off.outPort[1].node.resume 1081 : two_secs_in_chime_enabled_beeps.inPort.available = chime_enabled_beep.outPort[1].available 1082 : two_secs_in_chime_enabled_beeps.inPort.fire = chime_enabled_beep.outPort[1].fire 1083 : chime_enabled_beep.inPort[1].checkOneDelayedTransitionPerLoop = chime_enabled_quiet_t_is_whole_hour.outPort.checkOneDelayedTransitionPerLoop 1084 : chime_enabled_beep.inPort[1].fire = chime_enabled_quiet_t_is_whole_hour.outPort.fire 1085 : chime_enabled_beep.inPort[1].node.suspend = chime_enabled_quiet_t_is_whole_hour.outPort.node.suspend 1086 : chime_enabled_beep.inPort[1].node.resume = chime_enabled_quiet_t_is_whole_hour.outPort.node.resume 1087 : chime_enabled_quiet_t_is_whole_hour.inPort.available = chime_enabled_quiet.outPort[1].available 1088 : chime_enabled_quiet_t_is_whole_hour.inPort.checkOneDelayedTransitionPerLoop = chime_enabled_quiet.outPort[1].checkOneDelayedTransitionPerLoop 1089 : chime_enabled_quiet_t_is_whole_hour.inPort.fire = chime_enabled_quiet.outPort[1].fire 1090 : chime_enabled_quiet_t_is_whole_hour.inPort.node.suspend = chime_enabled_quiet.outPort[1].node.suspend 1091 : chime_enabled_quiet_t_is_whole_hour.inPort.node.resume = chime_enabled_quiet.outPort[1].node.resume 1092 : chime_enabled.entry[1].checkOneDelayedTransitionPerLoop = chime_enabled_quiet.inPort[1].checkOneDelayedTransitionPerLoop 1093 : chime_enabled.entry[1].fire = chime_enabled_quiet.inPort[1].fire 1094 : chime_enabled.entry[1].node.suspend = chime_enabled_quiet.inPort[1].node.suspend 1095 : chime_enabled.entry[1].node.resume = chime_enabled_quiet.inPort[1].node.resume 1096 : two_secs_in_chime_enabled_beeps.outPort.checkOneDelayedTransitionPerLoop = chime_enabled_quiet.inPort[2].checkOneDelayedTransitionPerLoop 1097 : two_secs_in_chime_enabled_beeps.outPort.fire = chime_enabled_quiet.inPort[2].fire 1098 : two_secs_in_chime_enabled_beeps.outPort.node.suspend = chime_enabled_quiet.inPort[2].node.suspend 1099 : two_secs_in_chime_enabled_beeps.outPort.node.resume = chime_enabled_quiet.inPort[2].node.resume 1100 : chime_disabled.outPort[1].available = chime_disabled_d.inPort.available 1101 : chime_disabled.outPort[1].checkOneDelayedTransitionPerLoop = chime_disabled_d.inPort.checkOneDelayedTransitionPerLoop 1102 : chime_disabled.outPort[1].fire = chime_disabled_d.inPort.fire 1103 : chime_disabled.outPort[1].node.suspend = chime_disabled_d.inPort.node.suspend 1104 : chime_disabled.outPort[1].node.resume = chime_disabled_d.inPort.node.resume 1105 : chime_disabled_d.outPort.checkOneDelayedTransitionPerLoop = chime_enabled.inPort[1].checkOneDelayedTransitionPerLoop 1106 : chime_disabled_d.outPort.fire = chime_enabled.inPort[1].fire 1107 : chime_disabled_d.outPort.node.suspend = chime_enabled.inPort[1].node.suspend 1108 : chime_disabled_d.outPort.node.resume = chime_enabled.inPort[1].node.resume 1109 : chime_enabled_d.inPort.available = chime_enabled.suspend[1].available 1110 : chime_enabled_d.inPort.checkOneDelayedTransitionPerLoop = chime_enabled.suspend[1].checkOneDelayedTransitionPerLoop 1111 : chime_enabled_d.inPort.fire = chime_enabled.suspend[1].fire 1112 : chime_enabled_d.inPort.node.suspend = chime_enabled.suspend[1].node.suspend 1113 : chime_enabled_d.inPort.node.resume = chime_enabled.suspend[1].node.resume 1114 : chime_enabled_d.outPort.checkOneDelayedTransitionPerLoop = chime_disabled.inPort[1].checkOneDelayedTransitionPerLoop 1115 : chime_enabled_d.outPort.fire = chime_disabled.inPort[1].fire 1116 : chime_enabled_d.outPort.node.suspend = chime_disabled.inPort[1].node.suspend 1117 : chime_enabled_d.outPort.node.resume = chime_disabled.inPort[1].node.resume 1118 : power_blink.inPort[1].fire = power_ok_battery_weakens.outPort.fire 1119 : power_blink.inPort[1].node.suspend = power_ok_battery_weakens.outPort.node.suspend 1120 : power_blink.inPort[1].node.resume = power_ok_battery_weakens.outPort.node.resume 1121 : power_ok_battery_weakens.inPort.available = power_ok.outPort[1].available 1122 : power_ok_battery_weakens.inPort.fire = power_ok.outPort[1].fire 1123 : power_ok_battery_weakens.inPort.node.suspend = power_ok.outPort[1].node.suspend 1124 : power_ok_battery_weakens.inPort.node.resume = power_ok.outPort[1].node.resume 1125 : power_blink.outPort[1].available = main.exit[1].available 1126 : power_blink.outPort[1].checkOneDelayedTransitionPerLoop = main.exit[1].checkOneDelayedTransitionPerLoop 1127 : power_blink.outPort[1].fire = main.exit[1].fire 1128 : power_blink.outPort[1].node.suspend = main.exit[1].node.suspend 1129 : power_blink.outPort[1].node.resume = main.exit[1].node.resume 1130 : battery_dies.inPort.available = main.outPort[1].available 1131 : battery_dies.inPort.checkOneDelayedTransitionPerLoop = main.outPort[1].checkOneDelayedTransitionPerLoop 1132 : battery_dies.inPort.fire = main.outPort[1].fire 1133 : battery_dies.inPort.node.suspend = main.outPort[1].node.suspend 1134 : battery_dies.inPort.node.resume = main.outPort[1].node.resume 1135 : regular_a.inPort.available = regular.outPort[1].available 1136 : regular_a.inPort.checkOneDelayedTransitionPerLoop = regular.outPort[1].checkOneDelayedTransitionPerLoop 1137 : regular_a.inPort.fire = regular.outPort[1].fire 1138 : regular_a.inPort.node.suspend = regular.outPort[1].node.suspend 1139 : regular_a.inPort.node.resume = regular.outPort[1].node.resume 1140 : regular_a.outPort.checkOneDelayedTransitionPerLoop = out.inPort[1].checkOneDelayedTransitionPerLoop 1141 : regular_a.outPort.fire = out.inPort[1].fire 1142 : regular_a.outPort.node.suspend = out.inPort[1].node.suspend 1143 : regular_a.outPort.node.resume = out.inPort[1].node.resume 1144 : two_min_in_out.inPort.available = out.suspend[1].available 1145 : two_min_in_out.inPort.checkOneDelayedTransitionPerLoop = out.suspend[1].checkOneDelayedTransitionPerLoop 1146 : two_min_in_out.inPort.fire = out.suspend[1].fire 1147 : two_min_in_out.inPort.node.suspend = out.suspend[1].node.suspend 1148 : two_min_in_out.inPort.node.resume = out.suspend[1].node.resume 1149 : out.outPort[1].available = out_a.inPort.available 1150 : out.outPort[1].fire = out_a.inPort.fire 1151 : out.outPort[1].node.suspend = out_a.inPort.node.suspend 1152 : out.outPort[1].node.resume = out_a.inPort.node.resume 1153 : out_a.outPort.checkOneDelayedTransitionPerLoop = stopwatch.resume[1].checkOneDelayedTransitionPerLoop 1154 : out_a.outPort.fire = stopwatch.resume[1].fire 1155 : out_a.outPort.node.suspend = stopwatch.resume[1].node.suspend 1156 : out_a.outPort.node.resume = stopwatch.resume[1].node.resume 1157 : stopwatch_a.inPort.available = stopwatch.suspend[1].available 1158 : stopwatch_a.inPort.checkOneDelayedTransitionPerLoop = stopwatch.suspend[1].checkOneDelayedTransitionPerLoop 1159 : stopwatch_a.inPort.fire = stopwatch.suspend[1].fire 1160 : stopwatch_a.inPort.node.suspend = stopwatch.suspend[1].node.suspend 1161 : stopwatch_a.inPort.node.resume = stopwatch.suspend[1].node.resume 1162 : p_or_p1_or_p2.inPort.available = displays.suspend[1].available 1163 : p_or_p1_or_p2.inPort.checkOneDelayedTransitionPerLoop = displays.suspend[1].checkOneDelayedTransitionPerLoop 1164 : p_or_p1_or_p2.inPort.fire = displays.suspend[1].fire 1165 : p_or_p1_or_p2.inPort.node.suspend = displays.suspend[1].node.suspend 1166 : p_or_p1_or_p2.inPort.node.resume = displays.suspend[1].node.resume 1167 : p_or_p1_or_p2.outPort.checkOneDelayedTransitionPerLoop = alarms_beep.inPort[1].checkOneDelayedTransitionPerLoop 1168 : p_or_p1_or_p2.outPort.fire = alarms_beep.inPort[1].fire 1169 : p_or_p1_or_p2.outPort.node.suspend = alarms_beep.inPort[1].node.suspend 1170 : p_or_p1_or_p2.outPort.node.resume = alarms_beep.inPort[1].node.resume 1171 : any_button_pressed.inPort.available = alarms_beep.suspend[1].available 1172 : any_button_pressed.inPort.checkOneDelayedTransitionPerLoop = alarms_beep.suspend[1].checkOneDelayedTransitionPerLoop 1173 : any_button_pressed.inPort.fire = alarms_beep.suspend[1].fire 1174 : any_button_pressed.inPort.node.suspend = alarms_beep.suspend[1].node.suspend 1175 : any_button_pressed.inPort.node.resume = alarms_beep.suspend[1].node.resume 1176 : thirty_secs_in_alarms_beep.inPort.available = alarms_beep.suspend[2].available 1177 : thirty_secs_in_alarms_beep.inPort.checkOneDelayedTransitionPerLoop = alarms_beep.suspend[2].checkOneDelayedTransitionPerLoop 1178 : thirty_secs_in_alarms_beep.inPort.fire = alarms_beep.suspend[2].fire 1179 : thirty_secs_in_alarms_beep.inPort.node.suspend = alarms_beep.suspend[2].node.suspend 1180 : thirty_secs_in_alarms_beep.inPort.node.resume = alarms_beep.suspend[2].node.resume 1181 : any_button_pressed.outPort.checkOneDelayedTransitionPerLoop = displays.resume[1].checkOneDelayedTransitionPerLoop 1182 : any_button_pressed.outPort.fire = displays.resume[1].fire 1183 : any_button_pressed.outPort.node.suspend = displays.resume[1].node.suspend 1184 : any_button_pressed.outPort.node.resume = displays.resume[1].node.resume 1185 : thirty_secs_in_alarms_beep.outPort.checkOneDelayedTransitionPerLoop = displays.resume[2].checkOneDelayedTransitionPerLoop 1186 : thirty_secs_in_alarms_beep.outPort.fire = displays.resume[2].fire 1187 : thirty_secs_in_alarms_beep.outPort.node.suspend = displays.resume[2].node.suspend 1188 : thirty_secs_in_alarms_beep.outPort.node.resume = displays.resume[2].node.resume 1189 : battery_inserted.outPort.checkOneDelayedTransitionPerLoop = main.inPort[1].checkOneDelayedTransitionPerLoop 1190 : battery_inserted.outPort.fire = main.inPort[1].fire 1191 : battery_inserted.outPort.node.suspend = main.inPort[1].node.suspend 1192 : battery_inserted.outPort.node.resume = main.inPort[1].node.resume 1193 : dead.outPort[1].available = battery_inserted.inPort.available 1194 : dead.outPort[1].checkOneDelayedTransitionPerLoop = battery_inserted.inPort.checkOneDelayedTransitionPerLoop 1195 : dead.outPort[1].fire = battery_inserted.inPort.fire 1196 : dead.outPort[1].node.suspend = battery_inserted.inPort.node.suspend 1197 : dead.outPort[1].node.resume = battery_inserted.inPort.node.resume 1198 : displays.inPort[1].checkOneDelayedTransitionPerLoop = main.entry[1].checkOneDelayedTransitionPerLoop 1199 : displays.inPort[1].fire = main.entry[1].fire 1200 : displays.inPort[1].node.suspend = main.entry[1].node.suspend 1201 : displays.inPort[1].node.resume = main.entry[1].node.resume 1202 : main.local_entry[2].checkOneDelayedTransitionPerLoop = alarm1_status_disabled.inPort[1].checkOneDelayedTransitionPerLoop 1203 : main.entry[2].fire = alarm1_status_disabled.inPort[1].fire 1204 : main.entry[2].node.suspend = alarm1_status_disabled.inPort[1].node.suspend 1205 : main.entry[2].node.resume = alarm1_status_disabled.inPort[1].node.resume 1206 : alarm1_enabled_d.outPort.checkOneDelayedTransitionPerLoop = alarm1_status_disabled.inPort[2].checkOneDelayedTransitionPerLoop 1207 : alarm1_enabled_d.outPort.fire = alarm1_status_disabled.inPort[2].fire 1208 : alarm1_enabled_d.outPort.node.suspend = alarm1_status_disabled.inPort[2].node.suspend 1209 : alarm1_enabled_d.outPort.node.resume = alarm1_status_disabled.inPort[2].node.resume 1210 : main.local_entry[3].checkOneDelayedTransitionPerLoop = alarm2_status_disabled.inPort[1].checkOneDelayedTransitionPerLoop 1211 : alarm2_status_disabled.inPort[1].fire = main.entry[3].fire 1212 : alarm2_status_disabled.inPort[1].node.suspend = main.entry[3].node.suspend 1213 : alarm2_status_disabled.inPort[1].node.resume = main.entry[3].node.resume 1214 : alarm2_enabled_d.outPort.checkOneDelayedTransitionPerLoop = alarm2_status_disabled.inPort[2].checkOneDelayedTransitionPerLoop 1215 : alarm2_enabled_d.outPort.fire = alarm2_status_disabled.inPort[2].fire 1216 : alarm2_enabled_d.outPort.node.suspend = alarm2_status_disabled.inPort[2].node.suspend 1217 : alarm2_enabled_d.outPort.node.resume = alarm2_status_disabled.inPort[2].node.resume 1218 : main.local_entry[4].checkOneDelayedTransitionPerLoop = chime_disabled.inPort[2].checkOneDelayedTransitionPerLoop 1219 : main.entry[4].fire = chime_disabled.inPort[2].fire 1220 : main.entry[4].node.suspend = chime_disabled.inPort[2].node.suspend 1221 : main.entry[4].node.resume = chime_disabled.inPort[2].node.resume 1222 : main.local_entry[5].checkOneDelayedTransitionPerLoop = light_off.inPort[1].checkOneDelayedTransitionPerLoop 1223 : main.entry[5].fire = light_off.inPort[1].fire 1224 : main.entry[5].node.suspend = light_off.inPort[1].node.suspend 1225 : main.entry[5].node.resume = light_off.inPort[1].node.resume 1226 : light_on_b_up.outPort.checkOneDelayedTransitionPerLoop = light_off.inPort[2].checkOneDelayedTransitionPerLoop 1227 : light_on_b_up.outPort.fire = light_off.inPort[2].fire 1228 : light_on_b_up.outPort.node.suspend = light_off.inPort[2].node.suspend 1229 : light_on_b_up.outPort.node.resume = light_off.inPort[2].node.resume 1230 : main.entry[6].checkOneDelayedTransitionPerLoop = power_ok.inPort[1].checkOneDelayedTransitionPerLoop 1231 : main.entry[6].fire = power_ok.inPort[1].fire 1232 : main.entry[6].node.suspend = power_ok.inPort[1].node.suspend 1233 : main.entry[6].node.resume = power_ok.inPort[1].node.resume 1234 : edge5.y = regular_a.conditionPort 1235 : edge5.y = out_a.conditionPort 1236 : edge5.y = stopwatch_a.conditionPort 1237 : two_min_in_out.outPort.checkOneDelayedTransitionPerLoop = regular.inPort[1].checkOneDelayedTransitionPerLoop 1238 : two_min_in_out.outPort.fire = regular.inPort[1].fire 1239 : two_min_in_out.outPort.node.suspend = regular.inPort[1].node.suspend 1240 : two_min_in_out.outPort.node.resume = regular.inPort[1].node.resume 1241 : stopwatch_a.outPort.checkOneDelayedTransitionPerLoop = regular.inPort[2].checkOneDelayedTransitionPerLoop 1242 : stopwatch_a.outPort.fire = regular.inPort[2].fire 1243 : stopwatch_a.outPort.node.suspend = regular.inPort[2].node.suspend 1244 : stopwatch_a.outPort.node.resume = regular.inPort[2].node.resume 1245 : choose_alarm.outPort[1].available = t_hits_t1_p.inPort.available 1246 : choose_alarm.outPort[1].fire = t_hits_t1_p.inPort.fire 1247 : choose_alarm.outPort[1].node.suspend = t_hits_t1_p.inPort.node.suspend 1248 : choose_alarm.outPort[1].node.resume = t_hits_t1_p.inPort.node.resume 1249 : t_hits_t1.outPort.fire = alarm1_beeps.inPort[1].fire 1250 : t_hits_t1.outPort.node.suspend = alarm1_beeps.inPort[1].node.suspend 1251 : t_hits_t1.outPort.node.resume = alarm1_beeps.inPort[1].node.resume 1252 : choose_alarm.outPort[2].available = t_hits_t2_p2.inPort.available 1253 : choose_alarm.outPort[2].fire = t_hits_t2_p2.inPort.fire 1254 : choose_alarm.outPort[2].node.suspend = t_hits_t2_p2.inPort.node.suspend 1255 : choose_alarm.outPort[2].node.resume = t_hits_t2_p2.inPort.node.resume 1256 : choose_alarm.outPort[3].available = t_hits_t1.inPort.available 1257 : choose_alarm.outPort[3].fire = t_hits_t1.inPort.fire 1258 : choose_alarm.outPort[3].node.suspend = t_hits_t1.inPort.node.suspend 1259 : choose_alarm.outPort[3].node.resume = t_hits_t1.inPort.node.resume 1260 : battery_removed.inPort.available = main.suspend[1].available 1261 : battery_removed.inPort.checkOneDelayedTransitionPerLoop = main.suspend[1].checkOneDelayedTransitionPerLoop 1262 : battery_removed.inPort.fire = main.suspend[1].fire 1263 : battery_removed.inPort.node.suspend = main.suspend[1].node.suspend 1264 : battery_removed.inPort.node.resume = main.suspend[1].node.resume 1265 : battery_removed.outPort.checkOneDelayedTransitionPerLoop = dead.inPort[2].checkOneDelayedTransitionPerLoop 1266 : battery_removed.outPort.fire = dead.inPort[2].fire 1267 : battery_removed.outPort.node.suspend = dead.inPort[2].node.suspend 1268 : battery_removed.outPort.node.resume = dead.inPort[2].node.resume 1269 : battery_dies.outPort.checkOneDelayedTransitionPerLoop = dead.inPort[1].checkOneDelayedTransitionPerLoop 1270 : battery_dies.outPort.fire = dead.inPort[1].fire 1271 : battery_dies.outPort.node.suspend = dead.inPort[1].node.suspend 1272 : battery_dies.outPort.node.resume = dead.inPort[1].node.resume 1273 : chime_disabled_d.conditionPort = and1.y 1274 : and2.y = chime_enabled_d.conditionPort 1275 : regular_time_c.inPort.available = regular.suspend[1].available 1276 : regular_time_c.inPort.checkOneDelayedTransitionPerLoop = regular.suspend[1].checkOneDelayedTransitionPerLoop 1277 : regular_time_c.inPort.fire = regular.suspend[1].fire 1278 : regular_time_c.inPort.node.suspend = regular.suspend[1].node.suspend 1279 : regular_time_c.inPort.node.resume = regular.suspend[1].node.resume 1280 : wait.inPort[1].checkOneDelayedTransitionPerLoop = regular_time_c.outPort.checkOneDelayedTransitionPerLoop 1281 : wait.inPort[1].fire = regular_time_c.outPort.fire 1282 : wait.inPort[1].node.suspend = regular_time_c.outPort.node.suspend 1283 : wait.inPort[1].node.resume = regular_time_c.outPort.node.resume 1284 : two_sec_in_wait.outPort.checkOneDelayedTransitionPerLoop = regular.resume[1].checkOneDelayedTransitionPerLoop 1285 : two_sec_in_wait.outPort.fire = regular.resume[1].fire 1286 : two_sec_in_wait.outPort.node.suspend = regular.resume[1].node.suspend 1287 : two_sec_in_wait.outPort.node.resume = regular.resume[1].node.resume 1288 : wait_c_up_1.outPort.checkOneDelayedTransitionPerLoop = regular.inPort[3].checkOneDelayedTransitionPerLoop 1289 : wait_c_up_1.outPort.fire = regular.inPort[3].fire 1290 : wait_c_up_1.outPort.node.suspend = regular.inPort[3].node.suspend 1291 : wait_c_up_1.outPort.node.resume = regular.inPort[3].node.resume 1292 : displays.entry[1].checkOneDelayedTransitionPerLoop = regular.inPort[4].checkOneDelayedTransitionPerLoop 1293 : displays.entry[1].fire = regular.inPort[4].fire 1294 : displays.entry[1].node.suspend = regular.inPort[4].node.suspend 1295 : displays.entry[1].node.resume = regular.inPort[4].node.resume 1296 : wait_c_up_1.inPort.available = wait.outPort[1].available 1297 : wait_c_up_1.inPort.fire = wait.outPort[1].fire 1298 : wait_c_up_1.inPort.node.suspend = wait.outPort[1].node.suspend 1299 : wait_c_up_1.inPort.node.resume = wait.outPort[1].node.resume 1300 : two_sec_in_wait.inPort.available = wait.outPort[2].available 1301 : two_sec_in_wait.inPort.fire = wait.outPort[2].fire 1302 : two_sec_in_wait.inPort.node.suspend = wait.outPort[2].node.suspend 1303 : two_sec_in_wait.inPort.node.resume = wait.outPort[2].node.resume 1304 : pre1.y = regular_time_c.conditionPort 1305 : and3.y = pre1.u 1306 : edge1.y = and3.u2 1307 : top_level_bus_node1.c = top_level_bus_node.c 1308 : top_level_bus_node1.c = watchController.display_node.c 1309 : top_level_bus_node1.c = alarms_bus_node.c 1310 : top_level_bus_node1.c = main_bus_node.c 1311 : top_level_bus_node1.c = displays_bus_node.c 1312 : top_level_bus_node1.c = signalBus.c 1313 : top_level_bus_node1.c = out.alarm1_bus_node.c 1314 : top_level_bus_node1.c = out.alarm1_bus_node1.c 1315 : top_level_bus_node1.c = out.update2_bus_node.c 1316 : top_level_bus_node1.c = out.alarm2_bus_node.c 1317 : top_level_bus_node1.c = out.chime_bus_node.c 1318 : top_level_bus_node1.c = regular.bus_node3.c 1319 : top_level_bus_node1.c = regular.bus_node1.c 1320 : top_level_bus_node1.c = regular.bus_node2.c 1321 : top_level_bus_node1.c = edge1.u 1322 : top_level_bus_node1.c = C.y 1323 : top_level_bus_node1.c = out.edge1.u 1324 : top_level_bus_node1.c = out.edge8.u 1325 : top_level_bus_node1.c = out.edge2.u 1326 : top_level_bus_node1.c = regular.pre1.u 1327 : top_level_bus_node1.c = not1.u 1328 : not1.y = wait_c_up_1.conditionPort 1329 : edge2.y = and2.u2 1330 : edge3.y = and1.u1 1331 : not2.y = light_on_b_up.conditionPort 1332 : and7.u2 = and6.u2 1333 : and7.u2 = and4.u2 1334 : and7.u2 = edge4.y 1335 : and7.u2 = and5.u2 1336 : and5.y = alarm2_enabled_d.conditionPort 1337 : and4.y = alarm2_disabled_d.conditionPort 1338 : and7.y = alarm1_disabled_d.conditionPort 1339 : and6.y = alarm1_enabled_d.conditionPort 1340 : edge7.y = p_or_p1_or_p2.conditionPort 1341 : t_hits_t1.conditionPort = alarmTrigger.P1 1342 : t_hits_t2_p2.conditionPort = alarmTrigger.P2 1343 : t_hits_t1_p.conditionPort = alarmTrigger.P 1344 : alarmTrigger.alarms_beep = edge7.u 1345 : watchController.large_digit1 = watchDisplay.large_digit1 1346 : watchController.large_digit2 = watchDisplay.large_digit2 1347 : watchController.large_digit3 = watchDisplay.large_digit3 1348 : watchController.large_digit4 = watchDisplay.large_digit4 1349 : watchController.small_digit = watchDisplay.small_digit 1350 : watchController.text_digit = watchDisplay.text_digit 1351 : dead.activePort = edge6.u 1352 : or2.y = showValue3.activePort 1353 : top_level_bus_node1.update_time = top_level_bus_node.update_time 1354 : top_level_bus_node1.update_time = watchController.display_node.update_time 1355 : top_level_bus_node1.update_time = alarms_bus_node.update_time 1356 : top_level_bus_node1.update_time = main_bus_node.update_time 1357 : top_level_bus_node1.update_time = displays_bus_node.update_time 1358 : top_level_bus_node1.update_time = signalBus.update_time 1359 : top_level_bus_node1.update_time = out.alarm1_bus_node.update_time 1360 : top_level_bus_node1.update_time = out.alarm1_bus_node1.update_time 1361 : top_level_bus_node1.update_time = out.update2_bus_node.update_time 1362 : top_level_bus_node1.update_time = out.alarm2_bus_node.update_time 1363 : top_level_bus_node1.update_time = out.chime_bus_node.update_time 1364 : top_level_bus_node1.update_time = regular.bus_node3.update_time 1365 : top_level_bus_node1.update_time = regular.bus_node1.update_time 1366 : top_level_bus_node1.update_time = regular.bus_node2.update_time 1367 : top_level_bus_node1.update_time = regular.time_setting.y 1368 : top_level_bus_node1.show_date = top_level_bus_node.show_date 1369 : top_level_bus_node1.show_date = watchController.display_node.show_date 1370 : top_level_bus_node1.show_date = alarms_bus_node.show_date 1371 : top_level_bus_node1.show_date = main_bus_node.show_date 1372 : top_level_bus_node1.show_date = displays_bus_node.show_date 1373 : top_level_bus_node1.show_date = signalBus.show_date 1374 : top_level_bus_node1.show_date = out.alarm1_bus_node.show_date 1375 : top_level_bus_node1.show_date = out.alarm1_bus_node1.show_date 1376 : top_level_bus_node1.show_date = out.update2_bus_node.show_date 1377 : top_level_bus_node1.show_date = out.alarm2_bus_node.show_date 1378 : top_level_bus_node1.show_date = out.chime_bus_node.show_date 1379 : top_level_bus_node1.show_date = regular.bus_node3.show_date 1380 : top_level_bus_node1.show_date = regular.bus_node1.show_date 1381 : top_level_bus_node1.show_date = regular.bus_node2.show_date 1382 : top_level_bus_node1.show_date = regular.show_date.y 1383 : top_level_bus_node1.show_time = top_level_bus_node.show_time 1384 : top_level_bus_node1.show_time = watchController.display_node.show_time 1385 : top_level_bus_node1.show_time = alarms_bus_node.show_time 1386 : top_level_bus_node1.show_time = main_bus_node.show_time 1387 : top_level_bus_node1.show_time = displays_bus_node.show_time 1388 : top_level_bus_node1.show_time = signalBus.show_time 1389 : top_level_bus_node1.show_time = out.alarm1_bus_node.show_time 1390 : top_level_bus_node1.show_time = out.alarm1_bus_node1.show_time 1391 : top_level_bus_node1.show_time = out.update2_bus_node.show_time 1392 : top_level_bus_node1.show_time = out.alarm2_bus_node.show_time 1393 : top_level_bus_node1.show_time = out.chime_bus_node.show_time 1394 : top_level_bus_node1.show_time = regular.bus_node3.show_time 1395 : top_level_bus_node1.show_time = regular.bus_node1.show_time 1396 : top_level_bus_node1.show_time = regular.bus_node2.show_time 1397 : top_level_bus_node1.show_time = regular.show_time.y 1398 : top_level_bus_node1.day_setting = top_level_bus_node.day_setting 1399 : top_level_bus_node1.day_setting = watchController.display_node.day_setting 1400 : top_level_bus_node1.day_setting = alarms_bus_node.day_setting 1401 : top_level_bus_node1.day_setting = main_bus_node.day_setting 1402 : top_level_bus_node1.day_setting = displays_bus_node.day_setting 1403 : top_level_bus_node1.day_setting = signalBus.day_setting 1404 : top_level_bus_node1.day_setting = out.alarm1_bus_node.day_setting 1405 : top_level_bus_node1.day_setting = out.alarm1_bus_node1.day_setting 1406 : top_level_bus_node1.day_setting = out.update2_bus_node.day_setting 1407 : top_level_bus_node1.day_setting = out.alarm2_bus_node.day_setting 1408 : top_level_bus_node1.day_setting = out.chime_bus_node.day_setting 1409 : top_level_bus_node1.day_setting = regular.bus_node3.day_setting 1410 : top_level_bus_node1.day_setting = regular.bus_node1.day_setting 1411 : top_level_bus_node1.day_setting = regular.bus_node2.day_setting 1412 : top_level_bus_node1.day_setting = regular.day_setting.y 1413 : top_level_bus_node1.year_setting = top_level_bus_node.year_setting 1414 : top_level_bus_node1.year_setting = watchController.display_node.year_setting 1415 : top_level_bus_node1.year_setting = alarms_bus_node.year_setting 1416 : top_level_bus_node1.year_setting = main_bus_node.year_setting 1417 : top_level_bus_node1.year_setting = displays_bus_node.year_setting 1418 : top_level_bus_node1.year_setting = signalBus.year_setting 1419 : top_level_bus_node1.year_setting = out.alarm1_bus_node.year_setting 1420 : top_level_bus_node1.year_setting = out.alarm1_bus_node1.year_setting 1421 : top_level_bus_node1.year_setting = out.update2_bus_node.year_setting 1422 : top_level_bus_node1.year_setting = out.alarm2_bus_node.year_setting 1423 : top_level_bus_node1.year_setting = out.chime_bus_node.year_setting 1424 : top_level_bus_node1.year_setting = regular.bus_node3.year_setting 1425 : top_level_bus_node1.year_setting = regular.bus_node1.year_setting 1426 : top_level_bus_node1.year_setting = regular.bus_node2.year_setting 1427 : top_level_bus_node1.year_setting = regular.year_setting.y 1428 : top_level_bus_node1.date_setting = top_level_bus_node.date_setting 1429 : top_level_bus_node1.date_setting = watchController.display_node.date_setting 1430 : top_level_bus_node1.date_setting = alarms_bus_node.date_setting 1431 : top_level_bus_node1.date_setting = main_bus_node.date_setting 1432 : top_level_bus_node1.date_setting = displays_bus_node.date_setting 1433 : top_level_bus_node1.date_setting = signalBus.date_setting 1434 : top_level_bus_node1.date_setting = out.alarm1_bus_node.date_setting 1435 : top_level_bus_node1.date_setting = out.alarm1_bus_node1.date_setting 1436 : top_level_bus_node1.date_setting = out.update2_bus_node.date_setting 1437 : top_level_bus_node1.date_setting = out.alarm2_bus_node.date_setting 1438 : top_level_bus_node1.date_setting = out.chime_bus_node.date_setting 1439 : top_level_bus_node1.date_setting = regular.bus_node3.date_setting 1440 : top_level_bus_node1.date_setting = regular.bus_node1.date_setting 1441 : top_level_bus_node1.date_setting = regular.bus_node2.date_setting 1442 : top_level_bus_node1.date_setting = regular.date_setting.y 1443 : top_level_bus_node1.mode_setting = top_level_bus_node.mode_setting 1444 : top_level_bus_node1.mode_setting = watchController.display_node.mode_setting 1445 : top_level_bus_node1.mode_setting = alarms_bus_node.mode_setting 1446 : top_level_bus_node1.mode_setting = main_bus_node.mode_setting 1447 : top_level_bus_node1.mode_setting = displays_bus_node.mode_setting 1448 : top_level_bus_node1.mode_setting = signalBus.mode_setting 1449 : top_level_bus_node1.mode_setting = out.alarm1_bus_node.mode_setting 1450 : top_level_bus_node1.mode_setting = out.alarm1_bus_node1.mode_setting 1451 : top_level_bus_node1.mode_setting = out.update2_bus_node.mode_setting 1452 : top_level_bus_node1.mode_setting = out.alarm2_bus_node.mode_setting 1453 : top_level_bus_node1.mode_setting = out.chime_bus_node.mode_setting 1454 : top_level_bus_node1.mode_setting = regular.bus_node3.mode_setting 1455 : top_level_bus_node1.mode_setting = regular.bus_node1.mode_setting 1456 : top_level_bus_node1.mode_setting = regular.bus_node2.mode_setting 1457 : top_level_bus_node1.mode_setting = regular.mode_setting.y 1458 : top_level_bus_node1.dead_active = top_level_bus_node.dead_active 1459 : top_level_bus_node1.dead_active = watchController.display_node.dead_active 1460 : top_level_bus_node1.dead_active = alarms_bus_node.dead_active 1461 : top_level_bus_node1.dead_active = main_bus_node.dead_active 1462 : top_level_bus_node1.dead_active = displays_bus_node.dead_active 1463 : top_level_bus_node1.dead_active = signalBus.dead_active 1464 : top_level_bus_node1.dead_active = out.alarm1_bus_node.dead_active 1465 : top_level_bus_node1.dead_active = out.alarm1_bus_node1.dead_active 1466 : top_level_bus_node1.dead_active = out.update2_bus_node.dead_active 1467 : top_level_bus_node1.dead_active = out.alarm2_bus_node.dead_active 1468 : top_level_bus_node1.dead_active = out.chime_bus_node.dead_active 1469 : top_level_bus_node1.dead_active = regular.bus_node3.dead_active 1470 : top_level_bus_node1.dead_active = regular.bus_node1.dead_active 1471 : top_level_bus_node1.dead_active = regular.bus_node2.dead_active 1472 : top_level_bus_node1.dead_active = edge6.y 1473 : top_level_bus_node1.dead_active = regular.time_setting.reset 1474 : top_level_bus_node1.dead_active = regular.year_setting.reset 1475 : top_level_bus_node1.dead_active = regular.day_setting.reset 1476 : top_level_bus_node1.dead_active = regular.mode_setting.reset 1477 : top_level_bus_node1.dead_active = regular.date_setting.reset 1478 : top_level_bus_node1.a = top_level_bus_node.a 1479 : top_level_bus_node1.a = watchController.display_node.a 1480 : top_level_bus_node1.a = alarms_bus_node.a 1481 : top_level_bus_node1.a = main_bus_node.a 1482 : top_level_bus_node1.a = displays_bus_node.a 1483 : top_level_bus_node1.a = signalBus.a 1484 : top_level_bus_node1.a = out.alarm1_bus_node.a 1485 : top_level_bus_node1.a = out.alarm1_bus_node1.a 1486 : top_level_bus_node1.a = out.update2_bus_node.a 1487 : top_level_bus_node1.a = out.alarm2_bus_node.a 1488 : top_level_bus_node1.a = out.chime_bus_node.a 1489 : top_level_bus_node1.a = regular.bus_node3.a 1490 : top_level_bus_node1.a = regular.bus_node1.a 1491 : top_level_bus_node1.a = regular.bus_node2.a 1492 : top_level_bus_node1.a = edge5.u 1493 : top_level_bus_node1.a = A.y 1494 : top_level_bus_node1.a = out.edge3.u 1495 : top_level_bus_node1.a = out.edge4.u 1496 : top_level_bus_node1.T1 = top_level_bus_node.T1 1497 : top_level_bus_node1.T1 = watchController.display_node.T1 1498 : top_level_bus_node1.T1 = alarms_bus_node.T1 1499 : top_level_bus_node1.T1 = main_bus_node.T1 1500 : top_level_bus_node1.T1 = displays_bus_node.T1 1501 : top_level_bus_node1.T1 = signalBus.T1 1502 : top_level_bus_node1.T1 = out.alarm1_bus_node.T1 1503 : top_level_bus_node1.T1 = out.alarm1_bus_node1.T1 1504 : top_level_bus_node1.T1 = out.update2_bus_node.T1 1505 : top_level_bus_node1.T1 = out.alarm2_bus_node.T1 1506 : top_level_bus_node1.T1 = out.chime_bus_node.T1 1507 : top_level_bus_node1.T1 = regular.bus_node3.T1 1508 : top_level_bus_node1.T1 = regular.bus_node1.T1 1509 : top_level_bus_node1.T1 = regular.bus_node2.T1 1510 : top_level_bus_node1.T1 = alarmTrigger.T1 1511 : top_level_bus_node1.T1 = out.alarm1_setting.y 1512 : top_level_bus_node1.T2 = top_level_bus_node.T2 1513 : top_level_bus_node1.T2 = watchController.display_node.T2 1514 : top_level_bus_node1.T2 = alarms_bus_node.T2 1515 : top_level_bus_node1.T2 = main_bus_node.T2 1516 : top_level_bus_node1.T2 = displays_bus_node.T2 1517 : top_level_bus_node1.T2 = signalBus.T2 1518 : top_level_bus_node1.T2 = out.alarm1_bus_node.T2 1519 : top_level_bus_node1.T2 = out.alarm1_bus_node1.T2 1520 : top_level_bus_node1.T2 = out.update2_bus_node.T2 1521 : top_level_bus_node1.T2 = out.alarm2_bus_node.T2 1522 : top_level_bus_node1.T2 = out.chime_bus_node.T2 1523 : top_level_bus_node1.T2 = regular.bus_node3.T2 1524 : top_level_bus_node1.T2 = regular.bus_node1.T2 1525 : top_level_bus_node1.T2 = regular.bus_node2.T2 1526 : top_level_bus_node1.T2 = alarmTrigger.T2 1527 : top_level_bus_node1.T2 = out.alarm2_setting.y 1528 : top_level_bus_node1.in_alarm2 = top_level_bus_node.in_alarm2 1529 : top_level_bus_node1.in_alarm2 = watchController.display_node.in_alarm2 1530 : top_level_bus_node1.in_alarm2 = alarms_bus_node.in_alarm2 1531 : top_level_bus_node1.in_alarm2 = main_bus_node.in_alarm2 1532 : top_level_bus_node1.in_alarm2 = displays_bus_node.in_alarm2 1533 : top_level_bus_node1.in_alarm2 = signalBus.in_alarm2 1534 : top_level_bus_node1.in_alarm2 = out.alarm1_bus_node.in_alarm2 1535 : top_level_bus_node1.in_alarm2 = out.alarm1_bus_node1.in_alarm2 1536 : top_level_bus_node1.in_alarm2 = out.update2_bus_node.in_alarm2 1537 : top_level_bus_node1.in_alarm2 = out.alarm2_bus_node.in_alarm2 1538 : top_level_bus_node1.in_alarm2 = out.chime_bus_node.in_alarm2 1539 : top_level_bus_node1.in_alarm2 = regular.bus_node3.in_alarm2 1540 : top_level_bus_node1.in_alarm2 = regular.bus_node1.in_alarm2 1541 : top_level_bus_node1.in_alarm2 = regular.bus_node2.in_alarm2 1542 : top_level_bus_node1.in_alarm2 = out.or1.y 1543 : top_level_bus_node1.in_alarm1 = top_level_bus_node.in_alarm1 1544 : top_level_bus_node1.in_alarm1 = watchController.display_node.in_alarm1 1545 : top_level_bus_node1.in_alarm1 = alarms_bus_node.in_alarm1 1546 : top_level_bus_node1.in_alarm1 = main_bus_node.in_alarm1 1547 : top_level_bus_node1.in_alarm1 = displays_bus_node.in_alarm1 1548 : top_level_bus_node1.in_alarm1 = signalBus.in_alarm1 1549 : top_level_bus_node1.in_alarm1 = out.alarm1_bus_node.in_alarm1 1550 : top_level_bus_node1.in_alarm1 = out.alarm1_bus_node1.in_alarm1 1551 : top_level_bus_node1.in_alarm1 = out.update2_bus_node.in_alarm1 1552 : top_level_bus_node1.in_alarm1 = out.alarm2_bus_node.in_alarm1 1553 : top_level_bus_node1.in_alarm1 = out.chime_bus_node.in_alarm1 1554 : top_level_bus_node1.in_alarm1 = regular.bus_node3.in_alarm1 1555 : top_level_bus_node1.in_alarm1 = regular.bus_node1.in_alarm1 1556 : top_level_bus_node1.in_alarm1 = regular.bus_node2.in_alarm1 1557 : top_level_bus_node1.in_alarm1 = out.or2.y 1558 : top_level_bus_node1.stopwatch_time = top_level_bus_node.stopwatch_time 1559 : top_level_bus_node1.stopwatch_time = watchController.display_node.stopwatch_time 1560 : top_level_bus_node1.stopwatch_time = alarms_bus_node.stopwatch_time 1561 : top_level_bus_node1.stopwatch_time = main_bus_node.stopwatch_time 1562 : top_level_bus_node1.stopwatch_time = displays_bus_node.stopwatch_time 1563 : top_level_bus_node1.stopwatch_time = signalBus.stopwatch_time 1564 : top_level_bus_node1.stopwatch_time = out.alarm1_bus_node.stopwatch_time 1565 : top_level_bus_node1.stopwatch_time = out.alarm1_bus_node1.stopwatch_time 1566 : top_level_bus_node1.stopwatch_time = out.update2_bus_node.stopwatch_time 1567 : top_level_bus_node1.stopwatch_time = out.alarm2_bus_node.stopwatch_time 1568 : top_level_bus_node1.stopwatch_time = out.chime_bus_node.stopwatch_time 1569 : top_level_bus_node1.stopwatch_time = regular.bus_node3.stopwatch_time 1570 : top_level_bus_node1.stopwatch_time = regular.bus_node1.stopwatch_time 1571 : top_level_bus_node1.stopwatch_time = regular.bus_node2.stopwatch_time 1572 : top_level_bus_node1.stopwatch_time = stopwatch.select_display_of_time_or_lap_time.y 1573 : watchController.Time.bus_node.update_time = watchController.Time.signalBus.update_time 1574 : watchController.Time.bus_node.update_time = watchController.Time.update_time 1575 : watchController.Time.bus_node.update_time = watchController.Time.clock.set 1576 : watchController.Time.bus_node.T1 = watchController.Time.signalBus.T1 1577 : watchController.Time.bus_node.T1 = watchController.Time.alarm1_time 1578 : watchController.Time.bus_node.T2 = watchController.Time.signalBus.T2 1579 : watchController.Time.bus_node.T2 = watchController.Time.alarm2_time 1580 : true = watchController.Time.and1.u[2] 1581 : watchController.Time.bus_node.show_time = watchController.Time.signalBus.show_time 1582 : watchController.Time.bus_node.show_time = watchController.Time.time_to_display.u[2] 1583 : watchController.Time.bus_node.in_alarm1 = watchController.Time.signalBus.in_alarm1 1584 : watchController.Time.bus_node.in_alarm1 = watchController.Time.time_to_display.u[3] 1585 : watchController.Time.bus_node.in_alarm2 = watchController.Time.signalBus.in_alarm2 1586 : watchController.Time.bus_node.in_alarm2 = watchController.Time.time_to_display.u[4] 1587 : true = watchController.Time.pre1.u 1588 : watchController.Time.bus_node.dead_active = watchController.Time.signalBus.dead_active 1589 : watchController.Time.bus_node.dead_active = watchController.Time.or1.u[2] 1590 : watchController.Time.bus_node.main_time = watchController.Time.signalBus.main_time 1591 : watchController.Time.bus_node.main_time = watchController.Time.clock_time 1592 : watchController.Time.bus_node.main_time = watchController.Time.clock.y 1593 : watchController.Time.bus_node.T_hits_T1 = watchController.Time.signalBus.T_hits_T1 1594 : watchController.Time.bus_node.T_hits_T1 = watchController.Time.T_hits_T1.y 1595 : watchController.Time.bus_node.T_hits_T2 = watchController.Time.signalBus.T_hits_T2 1596 : watchController.Time.bus_node.T_hits_T2 = watchController.Time.T_hits_T2.y 1597 : watchController.Time.bus_node.T_is_whole_hour = watchController.Time.signalBus.T_is_whole_hour 1598 : watchController.Time.bus_node.T_is_whole_hour = watchController.Time.T_IS_WHOLE_HOUR.y 1599 : true = watchController.time_mode.u[1] 1600 : watchController.Time.signalBus.show_time = watchController.display_node.show_time 1601 : watchController.Time.signalBus.show_time = watchController.time_mode.u[2] 1602 : watchController.Time.signalBus.in_alarm1 = watchController.display_node.in_alarm1 1603 : watchController.Time.signalBus.in_alarm1 = watchController.time_mode.u[3] 1604 : watchController.Time.signalBus.in_alarm2 = watchController.display_node.in_alarm2 1605 : watchController.Time.signalBus.in_alarm2 = watchController.time_mode.u[4] 1606 : true = watchController.date_mode.u[1] 1607 : watchController.Time.signalBus.show_date = watchController.display_node.show_date 1608 : watchController.Time.signalBus.show_date = watchController.date_mode.u[2] 1609 : watchController.Time.signalBus.mode_setting = watchController.display_node.mode_setting 1610 : watchController.Time.signalBus.mode_setting = watchController.displayController.mode_signal 1611 : watchController.Time.signalBus.date_setting = watchController.display_node.date_setting 1612 : watchController.Time.signalBus.date_setting = watchController.displayController.date_signal 1613 : watchController.Time.signalBus.year_setting = watchController.display_node.year_setting 1614 : watchController.Time.signalBus.year_setting = watchController.displayController.year_signal 1615 : watchController.Time.signalBus.stopwatch_time = watchController.display_node.stopwatch_time 1616 : watchController.Time.signalBus.stopwatch_time = watchController.displayController.stopwatch_signal 1617 : watchController.Time.signalBus.day_setting = watchController.display_node.day_setting 1618 : watchController.Time.signalBus.day_setting = watchController.displayController.day_signal 1619 : true = watchController.time_mode.u[5] 1620 : top_level_bus_node1.insert_battery = top_level_bus_node.insert_battery 1621 : top_level_bus_node1.insert_battery = watchController.display_node.insert_battery 1622 : top_level_bus_node1.insert_battery = alarms_bus_node.insert_battery 1623 : top_level_bus_node1.insert_battery = main_bus_node.insert_battery 1624 : top_level_bus_node1.insert_battery = displays_bus_node.insert_battery 1625 : top_level_bus_node1.insert_battery = signalBus.insert_battery 1626 : top_level_bus_node1.insert_battery = out.alarm1_bus_node.insert_battery 1627 : top_level_bus_node1.insert_battery = out.alarm1_bus_node1.insert_battery 1628 : top_level_bus_node1.insert_battery = out.update2_bus_node.insert_battery 1629 : top_level_bus_node1.insert_battery = out.alarm2_bus_node.insert_battery 1630 : top_level_bus_node1.insert_battery = out.chime_bus_node.insert_battery 1631 : top_level_bus_node1.insert_battery = regular.bus_node3.insert_battery 1632 : top_level_bus_node1.insert_battery = regular.bus_node1.insert_battery 1633 : top_level_bus_node1.insert_battery = regular.bus_node2.insert_battery 1634 : top_level_bus_node1.insert_battery = battery_inserted.conditionPort 1635 : top_level_bus_node1.insert_battery = insert_battery.y 1636 : top_level_bus_node1.remove_battery = top_level_bus_node.remove_battery 1637 : top_level_bus_node1.remove_battery = watchController.display_node.remove_battery 1638 : top_level_bus_node1.remove_battery = alarms_bus_node.remove_battery 1639 : top_level_bus_node1.remove_battery = main_bus_node.remove_battery 1640 : top_level_bus_node1.remove_battery = displays_bus_node.remove_battery 1641 : top_level_bus_node1.remove_battery = signalBus.remove_battery 1642 : top_level_bus_node1.remove_battery = out.alarm1_bus_node.remove_battery 1643 : top_level_bus_node1.remove_battery = out.alarm1_bus_node1.remove_battery 1644 : top_level_bus_node1.remove_battery = out.update2_bus_node.remove_battery 1645 : top_level_bus_node1.remove_battery = out.alarm2_bus_node.remove_battery 1646 : top_level_bus_node1.remove_battery = out.chime_bus_node.remove_battery 1647 : top_level_bus_node1.remove_battery = regular.bus_node3.remove_battery 1648 : top_level_bus_node1.remove_battery = regular.bus_node1.remove_battery 1649 : top_level_bus_node1.remove_battery = regular.bus_node2.remove_battery 1650 : top_level_bus_node1.remove_battery = battery_removed.conditionPort 1651 : top_level_bus_node1.remove_battery = remove_battery.y 1652 : top_level_bus_node1.kill_battery = top_level_bus_node.kill_battery 1653 : top_level_bus_node1.kill_battery = watchController.display_node.kill_battery 1654 : top_level_bus_node1.kill_battery = alarms_bus_node.kill_battery 1655 : top_level_bus_node1.kill_battery = main_bus_node.kill_battery 1656 : top_level_bus_node1.kill_battery = displays_bus_node.kill_battery 1657 : top_level_bus_node1.kill_battery = signalBus.kill_battery 1658 : top_level_bus_node1.kill_battery = out.alarm1_bus_node.kill_battery 1659 : top_level_bus_node1.kill_battery = out.alarm1_bus_node1.kill_battery 1660 : top_level_bus_node1.kill_battery = out.update2_bus_node.kill_battery 1661 : top_level_bus_node1.kill_battery = out.alarm2_bus_node.kill_battery 1662 : top_level_bus_node1.kill_battery = out.chime_bus_node.kill_battery 1663 : top_level_bus_node1.kill_battery = regular.bus_node3.kill_battery 1664 : top_level_bus_node1.kill_battery = regular.bus_node1.kill_battery 1665 : top_level_bus_node1.kill_battery = regular.bus_node2.kill_battery 1666 : top_level_bus_node1.kill_battery = battery_dies.conditionPort 1667 : top_level_bus_node1.kill_battery = kill_battery.y 1668 : top_level_bus_node1.weaken_battery = top_level_bus_node.weaken_battery 1669 : top_level_bus_node1.weaken_battery = watchController.display_node.weaken_battery 1670 : top_level_bus_node1.weaken_battery = alarms_bus_node.weaken_battery 1671 : top_level_bus_node1.weaken_battery = main_bus_node.weaken_battery 1672 : top_level_bus_node1.weaken_battery = displays_bus_node.weaken_battery 1673 : top_level_bus_node1.weaken_battery = signalBus.weaken_battery 1674 : top_level_bus_node1.weaken_battery = out.alarm1_bus_node.weaken_battery 1675 : top_level_bus_node1.weaken_battery = out.alarm1_bus_node1.weaken_battery 1676 : top_level_bus_node1.weaken_battery = out.update2_bus_node.weaken_battery 1677 : top_level_bus_node1.weaken_battery = out.alarm2_bus_node.weaken_battery 1678 : top_level_bus_node1.weaken_battery = out.chime_bus_node.weaken_battery 1679 : top_level_bus_node1.weaken_battery = regular.bus_node3.weaken_battery 1680 : top_level_bus_node1.weaken_battery = regular.bus_node1.weaken_battery 1681 : top_level_bus_node1.weaken_battery = regular.bus_node2.weaken_battery 1682 : top_level_bus_node1.weaken_battery = power_ok_battery_weakens.conditionPort 1683 : top_level_bus_node1.weaken_battery = weaken_battery.y 1684 : top_level_bus_node1.T_is_whole_hour = top_level_bus_node.T_is_whole_hour 1685 : top_level_bus_node1.T_is_whole_hour = watchController.display_node.T_is_whole_hour 1686 : top_level_bus_node1.T_is_whole_hour = alarms_bus_node.T_is_whole_hour 1687 : top_level_bus_node1.T_is_whole_hour = main_bus_node.T_is_whole_hour 1688 : top_level_bus_node1.T_is_whole_hour = displays_bus_node.T_is_whole_hour 1689 : top_level_bus_node1.T_is_whole_hour = signalBus.T_is_whole_hour 1690 : top_level_bus_node1.T_is_whole_hour = out.alarm1_bus_node.T_is_whole_hour 1691 : top_level_bus_node1.T_is_whole_hour = out.alarm1_bus_node1.T_is_whole_hour 1692 : top_level_bus_node1.T_is_whole_hour = out.update2_bus_node.T_is_whole_hour 1693 : top_level_bus_node1.T_is_whole_hour = out.alarm2_bus_node.T_is_whole_hour 1694 : top_level_bus_node1.T_is_whole_hour = out.chime_bus_node.T_is_whole_hour 1695 : top_level_bus_node1.T_is_whole_hour = regular.bus_node3.T_is_whole_hour 1696 : top_level_bus_node1.T_is_whole_hour = regular.bus_node1.T_is_whole_hour 1697 : top_level_bus_node1.T_is_whole_hour = regular.bus_node2.T_is_whole_hour 1698 : top_level_bus_node1.T_is_whole_hour = chime_enabled_quiet_t_is_whole_hour.conditionPort 1699 : top_level_bus_node1.T_hits_T1 = top_level_bus_node.T_hits_T1 1700 : top_level_bus_node1.T_hits_T1 = watchController.display_node.T_hits_T1 1701 : top_level_bus_node1.T_hits_T1 = alarms_bus_node.T_hits_T1 1702 : top_level_bus_node1.T_hits_T1 = main_bus_node.T_hits_T1 1703 : top_level_bus_node1.T_hits_T1 = displays_bus_node.T_hits_T1 1704 : top_level_bus_node1.T_hits_T1 = signalBus.T_hits_T1 1705 : top_level_bus_node1.T_hits_T1 = out.alarm1_bus_node.T_hits_T1 1706 : top_level_bus_node1.T_hits_T1 = out.alarm1_bus_node1.T_hits_T1 1707 : top_level_bus_node1.T_hits_T1 = out.update2_bus_node.T_hits_T1 1708 : top_level_bus_node1.T_hits_T1 = out.alarm2_bus_node.T_hits_T1 1709 : top_level_bus_node1.T_hits_T1 = out.chime_bus_node.T_hits_T1 1710 : top_level_bus_node1.T_hits_T1 = regular.bus_node3.T_hits_T1 1711 : top_level_bus_node1.T_hits_T1 = regular.bus_node1.T_hits_T1 1712 : top_level_bus_node1.T_hits_T1 = regular.bus_node2.T_hits_T1 1713 : top_level_bus_node1.T_hits_T1 = alarmTrigger.T_hits_T1 1714 : top_level_bus_node1.T_hits_T2 = top_level_bus_node.T_hits_T2 1715 : top_level_bus_node1.T_hits_T2 = watchController.display_node.T_hits_T2 1716 : top_level_bus_node1.T_hits_T2 = alarms_bus_node.T_hits_T2 1717 : top_level_bus_node1.T_hits_T2 = main_bus_node.T_hits_T2 1718 : top_level_bus_node1.T_hits_T2 = displays_bus_node.T_hits_T2 1719 : top_level_bus_node1.T_hits_T2 = signalBus.T_hits_T2 1720 : top_level_bus_node1.T_hits_T2 = out.alarm1_bus_node.T_hits_T2 1721 : top_level_bus_node1.T_hits_T2 = out.alarm1_bus_node1.T_hits_T2 1722 : top_level_bus_node1.T_hits_T2 = out.update2_bus_node.T_hits_T2 1723 : top_level_bus_node1.T_hits_T2 = out.alarm2_bus_node.T_hits_T2 1724 : top_level_bus_node1.T_hits_T2 = out.chime_bus_node.T_hits_T2 1725 : top_level_bus_node1.T_hits_T2 = regular.bus_node3.T_hits_T2 1726 : top_level_bus_node1.T_hits_T2 = regular.bus_node1.T_hits_T2 1727 : top_level_bus_node1.T_hits_T2 = regular.bus_node2.T_hits_T2 1728 : top_level_bus_node1.T_hits_T2 = alarmTrigger.T_hits_T2 1729 : top_level_bus_node1.alarm1_beeps = top_level_bus_node.alarm1_beeps 1730 : top_level_bus_node1.alarm1_beeps = watchController.display_node.alarm1_beeps 1731 : top_level_bus_node1.alarm1_beeps = alarms_bus_node.alarm1_beeps 1732 : top_level_bus_node1.alarm1_beeps = main_bus_node.alarm1_beeps 1733 : top_level_bus_node1.alarm1_beeps = displays_bus_node.alarm1_beeps 1734 : top_level_bus_node1.alarm1_beeps = signalBus.alarm1_beeps 1735 : top_level_bus_node1.alarm1_beeps = out.alarm1_bus_node.alarm1_beeps 1736 : top_level_bus_node1.alarm1_beeps = out.alarm1_bus_node1.alarm1_beeps 1737 : top_level_bus_node1.alarm1_beeps = out.update2_bus_node.alarm1_beeps 1738 : top_level_bus_node1.alarm1_beeps = out.alarm2_bus_node.alarm1_beeps 1739 : top_level_bus_node1.alarm1_beeps = out.chime_bus_node.alarm1_beeps 1740 : top_level_bus_node1.alarm1_beeps = regular.bus_node3.alarm1_beeps 1741 : top_level_bus_node1.alarm1_beeps = regular.bus_node1.alarm1_beeps 1742 : top_level_bus_node1.alarm1_beeps = regular.bus_node2.alarm1_beeps 1743 : top_level_bus_node1.alarm1_beeps = or2.u[1] 1744 : top_level_bus_node1.alarm1_beeps = alarm1_beeps.activePort 1745 : 0.0 = or2.u[2] 1746 : top_level_bus_node1.main_time = top_level_bus_node.main_time 1747 : top_level_bus_node1.main_time = watchController.display_node.main_time 1748 : top_level_bus_node1.main_time = alarms_bus_node.main_time 1749 : top_level_bus_node1.main_time = main_bus_node.main_time 1750 : top_level_bus_node1.main_time = displays_bus_node.main_time 1751 : top_level_bus_node1.main_time = signalBus.main_time 1752 : top_level_bus_node1.main_time = out.alarm1_bus_node.main_time 1753 : top_level_bus_node1.main_time = out.alarm1_bus_node1.main_time 1754 : top_level_bus_node1.main_time = out.update2_bus_node.main_time 1755 : top_level_bus_node1.main_time = out.alarm2_bus_node.main_time 1756 : top_level_bus_node1.main_time = out.chime_bus_node.main_time 1757 : top_level_bus_node1.main_time = regular.bus_node3.main_time 1758 : top_level_bus_node1.main_time = regular.bus_node1.main_time 1759 : top_level_bus_node1.main_time = regular.bus_node2.main_time 1760 : watchController.Time.bus_node.a = watchController.Time.signalBus.a 1761 : watchController.Time.bus_node.alarm1_beeps = watchController.Time.signalBus.alarm1_beeps 1762 : watchController.Time.bus_node.b = watchController.Time.signalBus.b 1763 : watchController.Time.bus_node.c = watchController.Time.signalBus.c 1764 : watchController.Time.bus_node.d = watchController.Time.signalBus.d 1765 : watchController.Time.bus_node.date_setting = watchController.Time.signalBus.date_setting 1766 : watchController.Time.bus_node.day_setting = watchController.Time.signalBus.day_setting 1767 : watchController.Time.bus_node.insert_battery = watchController.Time.signalBus.insert_battery 1768 : watchController.Time.bus_node.kill_battery = watchController.Time.signalBus.kill_battery 1769 : watchController.Time.bus_node.mode_setting = watchController.Time.signalBus.mode_setting 1770 : watchController.Time.bus_node.remove_battery = watchController.Time.signalBus.remove_battery 1771 : watchController.Time.bus_node.show_date = watchController.Time.signalBus.show_date 1772 : watchController.Time.bus_node.stopwatch_time = watchController.Time.signalBus.stopwatch_time 1773 : watchController.Time.bus_node.weaken_battery = watchController.Time.signalBus.weaken_battery 1774 : watchController.Time.bus_node.year_setting = watchController.Time.signalBus.year_setting 1775 : watchController.Time.signalBus.T1 = watchController.display_node.T1 1776 : watchController.Time.signalBus.T2 = watchController.display_node.T2 1777 : watchController.Time.signalBus.T_hits_T1 = watchController.display_node.T_hits_T1 1778 : watchController.Time.signalBus.T_hits_T2 = watchController.display_node.T_hits_T2 1779 : watchController.Time.signalBus.T_is_whole_hour = watchController.display_node.T_is_whole_hour 1780 : watchController.Time.signalBus.a = watchController.display_node.a 1781 : watchController.Time.signalBus.alarm1_beeps = watchController.display_node.alarm1_beeps 1782 : watchController.Time.signalBus.b = watchController.display_node.b 1783 : watchController.Time.signalBus.c = watchController.display_node.c 1784 : watchController.Time.signalBus.d = watchController.display_node.d 1785 : watchController.Time.signalBus.dead_active = watchController.display_node.dead_active 1786 : watchController.Time.signalBus.insert_battery = watchController.display_node.insert_battery 1787 : watchController.Time.signalBus.kill_battery = watchController.display_node.kill_battery 1788 : watchController.Time.signalBus.main_time = watchController.display_node.main_time 1789 : watchController.Time.signalBus.remove_battery = watchController.display_node.remove_battery 1790 : watchController.Time.signalBus.update_time = watchController.display_node.update_time 1791 : watchController.Time.signalBus.weaken_battery = watchController.display_node.weaken_battery 1792 : main.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({main.local_inPort[1].fire}) 1793 : main.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({main.local_outPort[1].fire}) 1794 : main.suspend_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({main.local_inPort[1].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({main.local_suspend[1].fire}) 1795 : main.resume_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({main.local_inPort[1].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 1796 : main.entry_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({main.local_entry[1].fire, main.local_entry[2].fire, main.local_entry[3].fire, main.local_entry[4].fire, main.local_entry[5].fire, main.local_entry[6].fire}) 1797 : main.active = pre(main.newActive) 1798 : main.newActive = if Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({main.local_inPort[1].node.resume}) then main.oldActive else main.inport_fire or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or main.active and not main.outport_fire and not main.suspend_fire 1799 : main.entry_restart[main.entryIndices[1]] = true 1800 : main.entry_restart[main.entryIndices[2]] = false 1801 : main.entry_restart[main.entryIndices[3]] = false 1802 : main.entry_restart[main.entryIndices[4]] = false 1803 : main.entry_restart[main.entryIndices[5]] = false 1804 : main.entry_restart[main.entryIndices[6]] = false 1805 : main.local_entry[1].fire = main.inport_fire 1806 : main.local_entry[2].fire = main.inport_fire 1807 : main.local_entry[3].fire = main.inport_fire 1808 : main.local_entry[4].fire = main.inport_fire 1809 : main.local_entry[5].fire = main.inport_fire 1810 : main.local_entry[6].fire = main.inport_fire 1811 : main.local_exit[1].fire = main.outport_fire 1812 : main.local_outPort[1].available = main.active and Modelica_StateGraph2.Blocks.BooleanFunctions.allTrue({main.local_exit[1].available}) 1813 : main.local_suspend[1].available = main.active and not pre(main.suspend_fire) 1814 : main.entryIndices[1] = 1 1815 : main.entryIndices[2] = 1 1816 : main.entryIndices[3] = 1 1817 : main.entryIndices[4] = 1 1818 : main.entryIndices[5] = 1 1819 : main.entryIndices[6] = 1 1820 : main.local_entry[1].node.suspend = main.active and main.suspend_fire or not main.active and pre(main.active) 1821 : main.local_entry[1].node.resume = main.resume_fire and not main.entry_fire 1822 : main.local_entry[2].node.suspend = main.local_entry[1].node.suspend 1823 : main.local_entry[2].node.resume = main.local_entry[1].node.resume 1824 : main.local_entry[3].node.suspend = main.local_entry[1].node.suspend 1825 : main.local_entry[3].node.resume = main.local_entry[1].node.resume 1826 : main.local_entry[4].node.suspend = main.local_entry[1].node.suspend 1827 : main.local_entry[4].node.resume = main.local_entry[1].node.resume 1828 : main.local_entry[5].node.suspend = main.local_entry[1].node.suspend 1829 : main.local_entry[5].node.resume = main.local_entry[1].node.resume 1830 : main.local_entry[6].node.suspend = main.local_entry[1].node.suspend 1831 : main.local_entry[6].node.resume = main.local_entry[1].node.resume 1832 : main.local_inPort[1].node.resume = main.connectorNode.node.resume 1833 : main.local_inPort[1].node.suspend = main.connectorNode.node.suspend 1834 : main.local_outPort[1].node.resume = main.connectorNode.node.resume 1835 : main.local_outPort[1].node.suspend = main.connectorNode.node.suspend 1836 : main.local_suspend[1].node.resume = main.connectorNode.node.resume 1837 : main.local_suspend[1].node.suspend = main.connectorNode.node.suspend 1838 : main.checkOneDelayedTransitionPerLoopIn = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({main.local_inPort[1].checkOneDelayedTransitionPerLoop}) and Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({}) 1839 : main.local_suspend[1].checkOneDelayedTransitionPerLoop = main.checkOneDelayedTransitionPerLoopIn 1840 : main.local_entry[main.entryIndices[1]].checkOneDelayedTransitionPerLoop = main.checkOneDelayedTransitionPerLoopIn 1841 : main.local_entry[main.entryIndices[2]].checkOneDelayedTransitionPerLoop = true 1842 : main.local_entry[main.entryIndices[3]].checkOneDelayedTransitionPerLoop = true 1843 : main.local_entry[main.entryIndices[4]].checkOneDelayedTransitionPerLoop = true 1844 : main.local_entry[main.entryIndices[5]].checkOneDelayedTransitionPerLoop = true 1845 : main.local_entry[main.entryIndices[6]].checkOneDelayedTransitionPerLoop = true 1846 : main.local_outPort[1].checkOneDelayedTransitionPerLoop = main.local_exit[1].checkOneDelayedTransitionPerLoop 1847 : dead.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({dead.inPort[1].fire, dead.inPort[2].fire}) 1848 : dead.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({dead.outPort[1].fire}) 1849 : dead.newActive = if dead.connectorNode.node.resume then dead.oldActive else dead.inport_fire or dead.active and not dead.outport_fire and not dead.connectorNode.node.suspend 1850 : dead.active = pre(dead.newActive) 1851 : dead.outPort[1].available = dead.active and not dead.connectorNode.node.suspend 1852 : dead.outPort[1].checkOneDelayedTransitionPerLoop = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({dead.inPort[1].checkOneDelayedTransitionPerLoop, dead.inPort[2].checkOneDelayedTransitionPerLoop}) 1853 : dead.inPort[1].node.resume = dead.connectorNode.node.resume 1854 : dead.inPort[1].node.suspend = dead.connectorNode.node.suspend 1855 : dead.inPort[2].node.resume = dead.connectorNode.node.resume 1856 : dead.inPort[2].node.suspend = dead.connectorNode.node.suspend 1857 : dead.connectorNode.node.suspend = false 1858 : dead.connectorNode.node.resume = false 1859 : dead.outPort[1].node.resume = dead.connectorNode.node.resume 1860 : dead.outPort[1].node.suspend = dead.connectorNode.node.suspend 1861 : battery_removed.enableFire = battery_removed.localCondition and battery_removed.inPort.available 1862 : battery_removed.fire = battery_removed.enableFire 1863 : battery_removed.outPort.checkOneDelayedTransitionPerLoop = battery_removed.inPort.checkOneDelayedTransitionPerLoop 1864 : battery_removed.inPort.fire = battery_removed.fire 1865 : battery_removed.outPort.fire = battery_removed.fire 1866 : battery_removed.outPort.node.resume = battery_removed.inPort.node.resume 1867 : battery_removed.outPort.node.suspend = battery_removed.inPort.node.suspend 1868 : alarms_beep.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({alarms_beep.local_inPort[1].fire}) 1869 : alarms_beep.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 1870 : alarms_beep.suspend_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({alarms_beep.local_inPort[1].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({alarms_beep.local_suspend[1].fire, alarms_beep.local_suspend[2].fire}) 1871 : alarms_beep.resume_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({alarms_beep.local_inPort[1].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 1872 : alarms_beep.entry_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({alarms_beep.local_entry[1].fire}) 1873 : alarms_beep.active = pre(alarms_beep.newActive) 1874 : alarms_beep.newActive = if Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({alarms_beep.local_inPort[1].node.resume}) then alarms_beep.oldActive else alarms_beep.inport_fire or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or alarms_beep.active and not alarms_beep.outport_fire and not alarms_beep.suspend_fire 1875 : alarms_beep.local_entry[1].fire = alarms_beep.inport_fire 1876 : alarms_beep.local_suspend[1].available = alarms_beep.active and not pre(alarms_beep.suspend_fire) 1877 : alarms_beep.local_suspend[2].available = alarms_beep.local_suspend[1].available and not alarms_beep.local_suspend[1].fire 1878 : alarms_beep.local_entry[1].node.suspend = alarms_beep.active and alarms_beep.suspend_fire or not alarms_beep.active and pre(alarms_beep.active) 1879 : alarms_beep.local_entry[1].node.resume = alarms_beep.resume_fire and not alarms_beep.entry_fire 1880 : alarms_beep.local_inPort[1].node.resume = alarms_beep.connectorNode.node.resume 1881 : alarms_beep.local_inPort[1].node.suspend = alarms_beep.connectorNode.node.suspend 1882 : alarms_beep.local_suspend[1].node.resume = alarms_beep.connectorNode.node.resume 1883 : alarms_beep.local_suspend[1].node.suspend = alarms_beep.connectorNode.node.suspend 1884 : alarms_beep.local_suspend[2].node.resume = alarms_beep.connectorNode.node.resume 1885 : alarms_beep.local_suspend[2].node.suspend = alarms_beep.connectorNode.node.suspend 1886 : alarms_beep.checkOneDelayedTransitionPerLoopIn = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({alarms_beep.local_inPort[1].checkOneDelayedTransitionPerLoop}) and Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({}) 1887 : alarms_beep.local_suspend[1].checkOneDelayedTransitionPerLoop = alarms_beep.checkOneDelayedTransitionPerLoopIn 1888 : alarms_beep.local_suspend[2].checkOneDelayedTransitionPerLoop = alarms_beep.checkOneDelayedTransitionPerLoopIn 1889 : alarms_beep.local_entry[1].checkOneDelayedTransitionPerLoop = alarms_beep.checkOneDelayedTransitionPerLoopIn 1890 : insert_battery.pre_buttonState = pre(insert_battery.buttonState) 1891 : insert_battery.y = insert_battery.pre_buttonState and not pre(insert_battery.pre_buttonState) 1892 : remove_battery.pre_buttonState = pre(remove_battery.buttonState) 1893 : remove_battery.y = remove_battery.pre_buttonState and not pre(remove_battery.pre_buttonState) 1894 : kill_battery.pre_buttonState = pre(kill_battery.buttonState) 1895 : kill_battery.y = kill_battery.pre_buttonState and not pre(kill_battery.pre_buttonState) 1896 : alarm1_beeps.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({alarm1_beeps.inPort[1].fire}) 1897 : alarm1_beeps.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 1898 : alarm1_beeps.newActive = if alarm1_beeps.connectorNode.node.resume then alarm1_beeps.oldActive else alarm1_beeps.inport_fire or alarm1_beeps.active and not alarm1_beeps.outport_fire and not alarm1_beeps.connectorNode.node.suspend 1899 : alarm1_beeps.active = pre(alarm1_beeps.newActive) 1900 : alarm1_beeps.inPort[1].node.resume = alarm1_beeps.connectorNode.node.resume 1901 : alarm1_beeps.inPort[1].node.suspend = alarm1_beeps.connectorNode.node.suspend 1902 : alarm1_status_disabled.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({alarm1_status_disabled.inPort[1].fire, alarm1_status_disabled.inPort[2].fire}) 1903 : alarm1_status_disabled.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({alarm1_status_disabled.outPort[1].fire}) 1904 : alarm1_status_disabled.newActive = if alarm1_status_disabled.connectorNode.node.resume then alarm1_status_disabled.oldActive else alarm1_status_disabled.inport_fire or alarm1_status_disabled.active and not alarm1_status_disabled.outport_fire and not alarm1_status_disabled.connectorNode.node.suspend 1905 : alarm1_status_disabled.active = pre(alarm1_status_disabled.newActive) 1906 : alarm1_status_disabled.outPort[1].available = alarm1_status_disabled.active and not alarm1_status_disabled.connectorNode.node.suspend 1907 : alarm1_status_disabled.outPort[1].checkOneDelayedTransitionPerLoop = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({alarm1_status_disabled.inPort[1].checkOneDelayedTransitionPerLoop, alarm1_status_disabled.inPort[2].checkOneDelayedTransitionPerLoop}) 1908 : alarm1_status_disabled.inPort[1].node.resume = alarm1_status_disabled.connectorNode.node.resume 1909 : alarm1_status_disabled.inPort[1].node.suspend = alarm1_status_disabled.connectorNode.node.suspend 1910 : alarm1_status_disabled.inPort[2].node.resume = alarm1_status_disabled.connectorNode.node.resume 1911 : alarm1_status_disabled.inPort[2].node.suspend = alarm1_status_disabled.connectorNode.node.suspend 1912 : alarm1_status_disabled.outPort[1].node.resume = alarm1_status_disabled.connectorNode.node.resume 1913 : alarm1_status_disabled.outPort[1].node.suspend = alarm1_status_disabled.connectorNode.node.suspend 1914 : alarm1_status_enabled.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({alarm1_status_enabled.inPort[1].fire}) 1915 : alarm1_status_enabled.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({alarm1_status_enabled.outPort[1].fire}) 1916 : alarm1_status_enabled.newActive = if alarm1_status_enabled.connectorNode.node.resume then alarm1_status_enabled.oldActive else alarm1_status_enabled.inport_fire or not alarm1_status_enabled.outport_fire and not alarm1_status_enabled.connectorNode.node.suspend 1917 : true = pre(alarm1_status_enabled.newActive) 1918 : alarm1_status_enabled.outPort[1].available = not alarm1_status_enabled.connectorNode.node.suspend 1919 : alarm1_status_enabled.inPort[1].node.resume = alarm1_status_enabled.connectorNode.node.resume 1920 : alarm1_status_enabled.inPort[1].node.suspend = alarm1_status_enabled.connectorNode.node.suspend 1921 : alarm1_status_enabled.outPort[1].node.resume = alarm1_status_enabled.connectorNode.node.resume 1922 : alarm1_status_enabled.outPort[1].node.suspend = alarm1_status_enabled.connectorNode.node.suspend 1923 : alarm1_disabled_d.enableFire = alarm1_disabled_d.localCondition and alarm1_disabled_d.inPort.available 1924 : alarm1_disabled_d.fire = alarm1_disabled_d.enableFire 1925 : alarm1_disabled_d.outPort.checkOneDelayedTransitionPerLoop = alarm1_disabled_d.inPort.checkOneDelayedTransitionPerLoop 1926 : alarm1_disabled_d.inPort.fire = alarm1_disabled_d.fire 1927 : alarm1_disabled_d.outPort.fire = alarm1_disabled_d.fire 1928 : alarm1_disabled_d.outPort.node.resume = alarm1_disabled_d.inPort.node.resume 1929 : alarm1_disabled_d.outPort.node.suspend = alarm1_disabled_d.inPort.node.suspend 1930 : alarm1_enabled_d.enableFire = alarm1_enabled_d.localCondition and alarm1_enabled_d.inPort.available 1931 : alarm1_enabled_d.fire = alarm1_enabled_d.enableFire 1932 : alarm1_enabled_d.outPort.checkOneDelayedTransitionPerLoop = true 1933 : alarm1_enabled_d.inPort.fire = alarm1_enabled_d.fire 1934 : alarm1_enabled_d.outPort.fire = alarm1_enabled_d.fire 1935 : alarm1_enabled_d.outPort.node.resume = alarm1_enabled_d.inPort.node.resume 1936 : alarm1_enabled_d.outPort.node.suspend = alarm1_enabled_d.inPort.node.suspend 1937 : alarm2_beeps.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({alarm2_beeps.inPort[1].fire}) 1938 : alarm2_beeps.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 1939 : alarm2_beeps.newActive = if alarm2_beeps.connectorNode.node.resume then alarm2_beeps.oldActive else alarm2_beeps.inport_fire or 0.0 and not alarm2_beeps.outport_fire and not alarm2_beeps.connectorNode.node.suspend 1940 : 0.0 = pre(alarm2_beeps.newActive) 1941 : alarm2_beeps.inPort[1].node.resume = alarm2_beeps.connectorNode.node.resume 1942 : alarm2_beeps.inPort[1].node.suspend = alarm2_beeps.connectorNode.node.suspend 1943 : both_beep.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({both_beep.inPort[1].fire}) 1944 : both_beep.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 1945 : both_beep.newActive = if both_beep.connectorNode.node.resume then both_beep.oldActive else both_beep.inport_fire or not both_beep.outport_fire and not both_beep.connectorNode.node.suspend 1946 : true = pre(both_beep.newActive) 1947 : both_beep.inPort[1].node.resume = both_beep.connectorNode.node.resume 1948 : both_beep.inPort[1].node.suspend = both_beep.connectorNode.node.suspend 1949 : t_hits_t2_p2.enableFire = t_hits_t2_p2.localCondition and t_hits_t2_p2.inPort.available 1950 : t_hits_t2_p2.fire = t_hits_t2_p2.enableFire 1951 : t_hits_t2_p2.inPort.fire = t_hits_t2_p2.fire 1952 : t_hits_t2_p2.outPort.fire = t_hits_t2_p2.fire 1953 : t_hits_t2_p2.outPort.node.resume = t_hits_t2_p2.inPort.node.resume 1954 : t_hits_t2_p2.outPort.node.suspend = t_hits_t2_p2.inPort.node.suspend 1955 : t_hits_t1_p.enableFire = t_hits_t1_p.localCondition and t_hits_t1_p.inPort.available 1956 : t_hits_t1_p.fire = t_hits_t1_p.enableFire 1957 : t_hits_t1_p.inPort.fire = t_hits_t1_p.fire 1958 : t_hits_t1_p.outPort.fire = t_hits_t1_p.fire 1959 : t_hits_t1_p.outPort.node.resume = t_hits_t1_p.inPort.node.resume 1960 : t_hits_t1_p.outPort.node.suspend = t_hits_t1_p.inPort.node.suspend 1961 : choose_alarm.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({choose_alarm.inPort[1].fire}) 1962 : choose_alarm.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({choose_alarm.outPort[1].fire, choose_alarm.outPort[2].fire, choose_alarm.outPort[3].fire}) 1963 : choose_alarm.newActive = if choose_alarm.connectorNode.node.resume then choose_alarm.oldActive else choose_alarm.inport_fire or choose_alarm.active and not choose_alarm.outport_fire and not choose_alarm.connectorNode.node.suspend 1964 : choose_alarm.active = pre(choose_alarm.newActive) 1965 : choose_alarm.outPort[1].available = choose_alarm.active and not choose_alarm.connectorNode.node.suspend 1966 : choose_alarm.outPort[2].available = choose_alarm.outPort[1].available and not choose_alarm.outPort[1].fire and not choose_alarm.connectorNode.node.suspend 1967 : choose_alarm.outPort[3].available = choose_alarm.outPort[2].available and not choose_alarm.outPort[2].fire and not choose_alarm.connectorNode.node.suspend 1968 : choose_alarm.inPort[1].node.resume = choose_alarm.connectorNode.node.resume 1969 : choose_alarm.inPort[1].node.suspend = choose_alarm.connectorNode.node.suspend 1970 : choose_alarm.outPort[1].node.resume = choose_alarm.connectorNode.node.resume 1971 : choose_alarm.outPort[1].node.suspend = choose_alarm.connectorNode.node.suspend 1972 : choose_alarm.outPort[2].node.resume = choose_alarm.connectorNode.node.resume 1973 : choose_alarm.outPort[2].node.suspend = choose_alarm.connectorNode.node.suspend 1974 : choose_alarm.outPort[3].node.resume = choose_alarm.connectorNode.node.resume 1975 : choose_alarm.outPort[3].node.suspend = choose_alarm.connectorNode.node.suspend 1976 : p_or_p1_or_p2.enableFire = p_or_p1_or_p2.localCondition and p_or_p1_or_p2.inPort.available 1977 : p_or_p1_or_p2.fire = p_or_p1_or_p2.enableFire 1978 : p_or_p1_or_p2.outPort.checkOneDelayedTransitionPerLoop = p_or_p1_or_p2.inPort.checkOneDelayedTransitionPerLoop 1979 : p_or_p1_or_p2.inPort.fire = p_or_p1_or_p2.fire 1980 : p_or_p1_or_p2.outPort.fire = p_or_p1_or_p2.fire 1981 : p_or_p1_or_p2.outPort.node.resume = p_or_p1_or_p2.inPort.node.resume 1982 : p_or_p1_or_p2.outPort.node.suspend = p_or_p1_or_p2.inPort.node.suspend 1983 : any_button_pressed.localCondition = any_button_pressed.condition 1984 : any_button_pressed.enableFire = any_button_pressed.localCondition and any_button_pressed.inPort.available 1985 : any_button_pressed.fire = any_button_pressed.enableFire 1986 : any_button_pressed.outPort.checkOneDelayedTransitionPerLoop = true 1987 : any_button_pressed.inPort.fire = any_button_pressed.fire 1988 : any_button_pressed.outPort.fire = any_button_pressed.fire 1989 : any_button_pressed.outPort.node.resume = any_button_pressed.inPort.node.resume 1990 : any_button_pressed.outPort.node.suspend = any_button_pressed.inPort.node.suspend 1991 : thirty_secs_in_alarms_beep.enableFire = thirty_secs_in_alarms_beep.inPort.available 1992 : thirty_secs_in_alarms_beep.fire = thirty_secs_in_alarms_beep.enableFire and time >= thirty_secs_in_alarms_beep.t_start + thirty_secs_in_alarms_beep.waitTime 1993 : thirty_secs_in_alarms_beep.outPort.checkOneDelayedTransitionPerLoop = true 1994 : thirty_secs_in_alarms_beep.inPort.fire = thirty_secs_in_alarms_beep.fire 1995 : thirty_secs_in_alarms_beep.outPort.fire = thirty_secs_in_alarms_beep.fire 1996 : thirty_secs_in_alarms_beep.outPort.node.resume = thirty_secs_in_alarms_beep.inPort.node.resume 1997 : thirty_secs_in_alarms_beep.outPort.node.suspend = thirty_secs_in_alarms_beep.inPort.node.suspend 1998 : weaken_battery.pre_buttonState = pre(weaken_battery.buttonState) 1999 : weaken_battery.y = weaken_battery.pre_buttonState and not pre(weaken_battery.pre_buttonState) 2000 : alarm2_status_disabled.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({alarm2_status_disabled.inPort[1].fire, alarm2_status_disabled.inPort[2].fire}) 2001 : alarm2_status_disabled.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({alarm2_status_disabled.outPort[1].fire}) 2002 : alarm2_status_disabled.newActive = if alarm2_status_disabled.connectorNode.node.resume then alarm2_status_disabled.oldActive else alarm2_status_disabled.inport_fire or alarm2_status_disabled.active and not alarm2_status_disabled.outport_fire and not alarm2_status_disabled.connectorNode.node.suspend 2003 : alarm2_status_disabled.active = pre(alarm2_status_disabled.newActive) 2004 : alarm2_status_disabled.outPort[1].available = alarm2_status_disabled.active and not alarm2_status_disabled.connectorNode.node.suspend 2005 : alarm2_status_disabled.outPort[1].checkOneDelayedTransitionPerLoop = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({alarm2_status_disabled.inPort[1].checkOneDelayedTransitionPerLoop, alarm2_status_disabled.inPort[2].checkOneDelayedTransitionPerLoop}) 2006 : alarm2_status_disabled.inPort[1].node.resume = alarm2_status_disabled.connectorNode.node.resume 2007 : alarm2_status_disabled.inPort[1].node.suspend = alarm2_status_disabled.connectorNode.node.suspend 2008 : alarm2_status_disabled.inPort[2].node.resume = alarm2_status_disabled.connectorNode.node.resume 2009 : alarm2_status_disabled.inPort[2].node.suspend = alarm2_status_disabled.connectorNode.node.suspend 2010 : alarm2_status_disabled.outPort[1].node.resume = alarm2_status_disabled.connectorNode.node.resume 2011 : alarm2_status_disabled.outPort[1].node.suspend = alarm2_status_disabled.connectorNode.node.suspend 2012 : alarm2_status_enabled.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({alarm2_status_enabled.inPort[1].fire}) 2013 : alarm2_status_enabled.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({alarm2_status_enabled.outPort[1].fire}) 2014 : alarm2_status_enabled.newActive = if alarm2_status_enabled.connectorNode.node.resume then alarm2_status_enabled.oldActive else alarm2_status_enabled.inport_fire or not alarm2_status_enabled.outport_fire and not alarm2_status_enabled.connectorNode.node.suspend 2015 : true = pre(alarm2_status_enabled.newActive) 2016 : alarm2_status_enabled.outPort[1].available = not alarm2_status_enabled.connectorNode.node.suspend 2017 : alarm2_status_enabled.inPort[1].node.resume = alarm2_status_enabled.connectorNode.node.resume 2018 : alarm2_status_enabled.inPort[1].node.suspend = alarm2_status_enabled.connectorNode.node.suspend 2019 : alarm2_status_enabled.outPort[1].node.resume = alarm2_status_enabled.connectorNode.node.resume 2020 : alarm2_status_enabled.outPort[1].node.suspend = alarm2_status_enabled.connectorNode.node.suspend 2021 : alarm2_disabled_d.enableFire = alarm2_disabled_d.localCondition and alarm2_disabled_d.inPort.available 2022 : alarm2_disabled_d.fire = alarm2_disabled_d.enableFire 2023 : alarm2_disabled_d.outPort.checkOneDelayedTransitionPerLoop = alarm2_disabled_d.inPort.checkOneDelayedTransitionPerLoop 2024 : alarm2_disabled_d.inPort.fire = alarm2_disabled_d.fire 2025 : alarm2_disabled_d.outPort.fire = alarm2_disabled_d.fire 2026 : alarm2_disabled_d.outPort.node.resume = alarm2_disabled_d.inPort.node.resume 2027 : alarm2_disabled_d.outPort.node.suspend = alarm2_disabled_d.inPort.node.suspend 2028 : alarm2_enabled_d.enableFire = alarm2_enabled_d.localCondition and alarm2_enabled_d.inPort.available 2029 : alarm2_enabled_d.fire = alarm2_enabled_d.enableFire 2030 : alarm2_enabled_d.outPort.checkOneDelayedTransitionPerLoop = true 2031 : alarm2_enabled_d.inPort.fire = alarm2_enabled_d.fire 2032 : alarm2_enabled_d.outPort.fire = alarm2_enabled_d.fire 2033 : alarm2_enabled_d.outPort.node.resume = alarm2_enabled_d.inPort.node.resume 2034 : alarm2_enabled_d.outPort.node.suspend = alarm2_enabled_d.inPort.node.suspend 2035 : light_off.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({light_off.inPort[1].fire, light_off.inPort[2].fire}) 2036 : light_off.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({light_off.outPort[1].fire}) 2037 : light_off.newActive = if light_off.connectorNode.node.resume then light_off.oldActive else light_off.inport_fire or not light_off.outport_fire and not light_off.connectorNode.node.suspend 2038 : true = pre(light_off.newActive) 2039 : light_off.outPort[1].available = not light_off.connectorNode.node.suspend 2040 : light_off.outPort[1].checkOneDelayedTransitionPerLoop = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({light_off.inPort[1].checkOneDelayedTransitionPerLoop, light_off.inPort[2].checkOneDelayedTransitionPerLoop}) 2041 : light_off.inPort[1].node.resume = light_off.connectorNode.node.resume 2042 : light_off.inPort[1].node.suspend = light_off.connectorNode.node.suspend 2043 : light_off.inPort[2].node.resume = light_off.connectorNode.node.resume 2044 : light_off.inPort[2].node.suspend = light_off.connectorNode.node.suspend 2045 : light_off.outPort[1].node.resume = light_off.connectorNode.node.resume 2046 : light_off.outPort[1].node.suspend = light_off.connectorNode.node.suspend 2047 : light_on.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({light_on.inPort[1].fire}) 2048 : light_on.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({light_on.outPort[1].fire}) 2049 : light_on.newActive = if light_on.connectorNode.node.resume then light_on.oldActive else light_on.inport_fire or 0.0 and not light_on.outport_fire and not light_on.connectorNode.node.suspend 2050 : 0.0 = pre(light_on.newActive) 2051 : light_on.outPort[1].available = 0.0 and not light_on.connectorNode.node.suspend 2052 : light_on.inPort[1].node.resume = light_on.connectorNode.node.resume 2053 : light_on.inPort[1].node.suspend = light_on.connectorNode.node.suspend 2054 : light_on.outPort[1].node.resume = light_on.connectorNode.node.resume 2055 : light_on.outPort[1].node.suspend = light_on.connectorNode.node.suspend 2056 : light_off_b_down.enableFire = light_off_b_down.localCondition and light_off_b_down.inPort.available 2057 : light_off_b_down.fire = light_off_b_down.enableFire 2058 : light_off_b_down.outPort.checkOneDelayedTransitionPerLoop = light_off_b_down.inPort.checkOneDelayedTransitionPerLoop 2059 : light_off_b_down.inPort.fire = light_off_b_down.fire 2060 : light_off_b_down.outPort.fire = light_off_b_down.fire 2061 : light_off_b_down.outPort.node.resume = light_off_b_down.inPort.node.resume 2062 : light_off_b_down.outPort.node.suspend = light_off_b_down.inPort.node.suspend 2063 : light_on_b_up.enableFire = light_on_b_up.localCondition and light_on_b_up.inPort.available 2064 : light_on_b_up.fire = light_on_b_up.enableFire 2065 : light_on_b_up.outPort.checkOneDelayedTransitionPerLoop = true 2066 : light_on_b_up.inPort.fire = light_on_b_up.fire 2067 : light_on_b_up.outPort.fire = light_on_b_up.fire 2068 : light_on_b_up.outPort.node.resume = light_on_b_up.inPort.node.resume 2069 : light_on_b_up.outPort.node.suspend = light_on_b_up.inPort.node.suspend 2070 : chime_enabled_quiet.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({chime_enabled_quiet.inPort[1].fire, chime_enabled_quiet.inPort[2].fire}) 2071 : chime_enabled_quiet.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({chime_enabled_quiet.outPort[1].fire}) 2072 : chime_enabled_quiet.newActive = if chime_enabled_quiet.connectorNode.node.resume then chime_enabled_quiet.oldActive else chime_enabled_quiet.inport_fire or chime_enabled_quiet.active and not chime_enabled_quiet.outport_fire and not chime_enabled_quiet.connectorNode.node.suspend 2073 : chime_enabled_quiet.active = pre(chime_enabled_quiet.newActive) 2074 : chime_enabled_quiet.outPort[1].available = chime_enabled_quiet.active and not chime_enabled_quiet.connectorNode.node.suspend 2075 : chime_enabled_quiet.outPort[1].checkOneDelayedTransitionPerLoop = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({chime_enabled_quiet.inPort[1].checkOneDelayedTransitionPerLoop, chime_enabled_quiet.inPort[2].checkOneDelayedTransitionPerLoop}) 2076 : chime_enabled_quiet.inPort[1].node.resume = chime_enabled_quiet.connectorNode.node.resume 2077 : chime_enabled_quiet.inPort[1].node.suspend = chime_enabled_quiet.connectorNode.node.suspend 2078 : chime_enabled_quiet.inPort[2].node.resume = chime_enabled_quiet.connectorNode.node.resume 2079 : chime_enabled_quiet.inPort[2].node.suspend = chime_enabled_quiet.connectorNode.node.suspend 2080 : chime_enabled_quiet.outPort[1].node.resume = chime_enabled_quiet.connectorNode.node.resume 2081 : chime_enabled_quiet.outPort[1].node.suspend = chime_enabled_quiet.connectorNode.node.suspend 2082 : chime_enabled_beep.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({chime_enabled_beep.inPort[1].fire}) 2083 : chime_enabled_beep.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({chime_enabled_beep.outPort[1].fire}) 2084 : chime_enabled_beep.newActive = if chime_enabled_beep.connectorNode.node.resume then chime_enabled_beep.oldActive else chime_enabled_beep.inport_fire or chime_enabled_beep.active and not chime_enabled_beep.outport_fire and not chime_enabled_beep.connectorNode.node.suspend 2085 : chime_enabled_beep.active = pre(chime_enabled_beep.newActive) 2086 : chime_enabled_beep.outPort[1].available = chime_enabled_beep.active and not chime_enabled_beep.connectorNode.node.suspend 2087 : chime_enabled_beep.inPort[1].node.resume = chime_enabled_beep.connectorNode.node.resume 2088 : chime_enabled_beep.inPort[1].node.suspend = chime_enabled_beep.connectorNode.node.suspend 2089 : chime_enabled_beep.outPort[1].node.resume = chime_enabled_beep.connectorNode.node.resume 2090 : chime_enabled_beep.outPort[1].node.suspend = chime_enabled_beep.connectorNode.node.suspend 2091 : chime_enabled_quiet_t_is_whole_hour.enableFire = chime_enabled_quiet_t_is_whole_hour.localCondition and chime_enabled_quiet_t_is_whole_hour.inPort.available 2092 : chime_enabled_quiet_t_is_whole_hour.fire = chime_enabled_quiet_t_is_whole_hour.enableFire 2093 : chime_enabled_quiet_t_is_whole_hour.outPort.checkOneDelayedTransitionPerLoop = chime_enabled_quiet_t_is_whole_hour.inPort.checkOneDelayedTransitionPerLoop 2094 : chime_enabled_quiet_t_is_whole_hour.inPort.fire = chime_enabled_quiet_t_is_whole_hour.fire 2095 : chime_enabled_quiet_t_is_whole_hour.outPort.fire = chime_enabled_quiet_t_is_whole_hour.fire 2096 : chime_enabled_quiet_t_is_whole_hour.outPort.node.resume = chime_enabled_quiet_t_is_whole_hour.inPort.node.resume 2097 : chime_enabled_quiet_t_is_whole_hour.outPort.node.suspend = chime_enabled_quiet_t_is_whole_hour.inPort.node.suspend 2098 : two_secs_in_chime_enabled_beeps.enableFire = two_secs_in_chime_enabled_beeps.inPort.available 2099 : two_secs_in_chime_enabled_beeps.fire = two_secs_in_chime_enabled_beeps.enableFire and time >= two_secs_in_chime_enabled_beeps.t_start + two_secs_in_chime_enabled_beeps.waitTime 2100 : two_secs_in_chime_enabled_beeps.outPort.checkOneDelayedTransitionPerLoop = true 2101 : two_secs_in_chime_enabled_beeps.inPort.fire = two_secs_in_chime_enabled_beeps.fire 2102 : two_secs_in_chime_enabled_beeps.outPort.fire = two_secs_in_chime_enabled_beeps.fire 2103 : two_secs_in_chime_enabled_beeps.outPort.node.resume = two_secs_in_chime_enabled_beeps.inPort.node.resume 2104 : two_secs_in_chime_enabled_beeps.outPort.node.suspend = two_secs_in_chime_enabled_beeps.inPort.node.suspend 2105 : chime_enabled.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({chime_enabled.local_inPort[1].fire}) 2106 : chime_enabled.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 2107 : chime_enabled.suspend_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({chime_enabled.local_inPort[1].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({chime_enabled.local_suspend[1].fire}) 2108 : chime_enabled.resume_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({chime_enabled.local_inPort[1].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 2109 : chime_enabled.entry_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({chime_enabled.local_entry[1].fire}) 2110 : chime_enabled.active = pre(chime_enabled.newActive) 2111 : chime_enabled.newActive = if Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({chime_enabled.local_inPort[1].node.resume}) then chime_enabled.oldActive else chime_enabled.inport_fire or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or chime_enabled.active and not chime_enabled.outport_fire and not chime_enabled.suspend_fire 2112 : chime_enabled.local_entry[1].fire = chime_enabled.inport_fire 2113 : chime_enabled.local_suspend[1].available = chime_enabled.active and not pre(chime_enabled.suspend_fire) 2114 : chime_enabled.local_entry[1].node.suspend = chime_enabled.active and chime_enabled.suspend_fire or not chime_enabled.active and pre(chime_enabled.active) 2115 : chime_enabled.local_entry[1].node.resume = chime_enabled.resume_fire and not chime_enabled.entry_fire 2116 : chime_enabled.local_inPort[1].node.resume = chime_enabled.connectorNode.node.resume 2117 : chime_enabled.local_inPort[1].node.suspend = chime_enabled.connectorNode.node.suspend 2118 : chime_enabled.local_suspend[1].node.resume = chime_enabled.connectorNode.node.resume 2119 : chime_enabled.local_suspend[1].node.suspend = chime_enabled.connectorNode.node.suspend 2120 : chime_enabled.checkOneDelayedTransitionPerLoopIn = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({chime_enabled.local_inPort[1].checkOneDelayedTransitionPerLoop}) and Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({}) 2121 : chime_enabled.local_suspend[1].checkOneDelayedTransitionPerLoop = chime_enabled.checkOneDelayedTransitionPerLoopIn 2122 : chime_enabled.local_entry[1].checkOneDelayedTransitionPerLoop = chime_enabled.checkOneDelayedTransitionPerLoopIn 2123 : chime_disabled.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({chime_disabled.inPort[1].fire, chime_disabled.inPort[2].fire}) 2124 : chime_disabled.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({chime_disabled.outPort[1].fire}) 2125 : chime_disabled.newActive = if chime_disabled.connectorNode.node.resume then chime_disabled.oldActive else chime_disabled.inport_fire or chime_disabled.active and not chime_disabled.outport_fire and not chime_disabled.connectorNode.node.suspend 2126 : chime_disabled.active = pre(chime_disabled.newActive) 2127 : chime_disabled.outPort[1].available = chime_disabled.active and not chime_disabled.connectorNode.node.suspend 2128 : chime_disabled.outPort[1].checkOneDelayedTransitionPerLoop = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({chime_disabled.inPort[1].checkOneDelayedTransitionPerLoop, chime_disabled.inPort[2].checkOneDelayedTransitionPerLoop}) 2129 : chime_disabled.inPort[1].node.resume = chime_disabled.connectorNode.node.resume 2130 : chime_disabled.inPort[1].node.suspend = chime_disabled.connectorNode.node.suspend 2131 : chime_disabled.inPort[2].node.resume = chime_disabled.connectorNode.node.resume 2132 : chime_disabled.inPort[2].node.suspend = chime_disabled.connectorNode.node.suspend 2133 : chime_disabled.outPort[1].node.resume = chime_disabled.connectorNode.node.resume 2134 : chime_disabled.outPort[1].node.suspend = chime_disabled.connectorNode.node.suspend 2135 : chime_disabled_d.enableFire = chime_disabled_d.localCondition and chime_disabled_d.inPort.available 2136 : chime_disabled_d.fire = chime_disabled_d.enableFire 2137 : chime_disabled_d.outPort.checkOneDelayedTransitionPerLoop = chime_disabled_d.inPort.checkOneDelayedTransitionPerLoop 2138 : chime_disabled_d.inPort.fire = chime_disabled_d.fire 2139 : chime_disabled_d.outPort.fire = chime_disabled_d.fire 2140 : chime_disabled_d.outPort.node.resume = chime_disabled_d.inPort.node.resume 2141 : chime_disabled_d.outPort.node.suspend = chime_disabled_d.inPort.node.suspend 2142 : chime_enabled_d.enableFire = chime_enabled_d.localCondition and chime_enabled_d.inPort.available 2143 : chime_enabled_d.fire = chime_enabled_d.enableFire 2144 : chime_enabled_d.outPort.checkOneDelayedTransitionPerLoop = true 2145 : chime_enabled_d.inPort.fire = chime_enabled_d.fire 2146 : chime_enabled_d.outPort.fire = chime_enabled_d.fire 2147 : chime_enabled_d.outPort.node.resume = chime_enabled_d.inPort.node.resume 2148 : chime_enabled_d.outPort.node.suspend = chime_enabled_d.inPort.node.suspend 2149 : power_ok.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({power_ok.inPort[1].fire}) 2150 : power_ok.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({power_ok.outPort[1].fire}) 2151 : power_ok.newActive = if power_ok.connectorNode.node.resume then power_ok.oldActive else power_ok.inport_fire or power_ok.active and not power_ok.outport_fire and not power_ok.connectorNode.node.suspend 2152 : power_ok.active = pre(power_ok.newActive) 2153 : power_ok.outPort[1].available = power_ok.active and not power_ok.connectorNode.node.suspend 2154 : power_ok.inPort[1].node.resume = power_ok.connectorNode.node.resume 2155 : power_ok.inPort[1].node.suspend = power_ok.connectorNode.node.suspend 2156 : power_ok.outPort[1].node.resume = power_ok.connectorNode.node.resume 2157 : power_ok.outPort[1].node.suspend = power_ok.connectorNode.node.suspend 2158 : power_blink.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({power_blink.inPort[1].fire}) 2159 : power_blink.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({power_blink.outPort[1].fire}) 2160 : power_blink.newActive = if power_blink.connectorNode.node.resume then power_blink.oldActive else power_blink.inport_fire or power_blink.active and not power_blink.outport_fire and not power_blink.connectorNode.node.suspend 2161 : power_blink.active = pre(power_blink.newActive) 2162 : power_blink.outPort[1].available = power_blink.active and not power_blink.connectorNode.node.suspend 2163 : power_blink.outPort[1].checkOneDelayedTransitionPerLoop = true 2164 : power_blink.inPort[1].node.resume = power_blink.connectorNode.node.resume 2165 : power_blink.inPort[1].node.suspend = power_blink.connectorNode.node.suspend 2166 : power_blink.outPort[1].node.resume = power_blink.connectorNode.node.resume 2167 : power_blink.outPort[1].node.suspend = power_blink.connectorNode.node.suspend 2168 : power_ok_battery_weakens.enableFire = power_ok_battery_weakens.localCondition and power_ok_battery_weakens.inPort.available 2169 : power_ok_battery_weakens.fire = power_ok_battery_weakens.enableFire 2170 : power_ok_battery_weakens.inPort.fire = power_ok_battery_weakens.fire 2171 : power_ok_battery_weakens.outPort.fire = power_ok_battery_weakens.fire 2172 : power_ok_battery_weakens.outPort.node.resume = power_ok_battery_weakens.inPort.node.resume 2173 : power_ok_battery_weakens.outPort.node.suspend = power_ok_battery_weakens.inPort.node.suspend 2174 : battery_dies.enableFire = battery_dies.localCondition and battery_dies.inPort.available 2175 : battery_dies.fire = battery_dies.enableFire 2176 : battery_dies.outPort.checkOneDelayedTransitionPerLoop = battery_dies.inPort.checkOneDelayedTransitionPerLoop 2177 : battery_dies.inPort.fire = battery_dies.fire 2178 : battery_dies.outPort.fire = battery_dies.fire 2179 : battery_dies.outPort.node.resume = battery_dies.inPort.node.resume 2180 : battery_dies.outPort.node.suspend = battery_dies.inPort.node.suspend 2181 : displays.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({displays.local_inPort[1].fire}) 2182 : displays.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 2183 : displays.suspend_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({displays.local_inPort[1].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({displays.local_resume[1].node.suspend, displays.local_resume[2].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({displays.local_suspend[1].fire}) 2184 : displays.resume_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({displays.local_inPort[1].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({displays.local_resume[1].node.resume, displays.local_resume[2].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({displays.local_resume[1].fire, displays.local_resume[2].fire}) 2185 : displays.entry_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({displays.local_entry[1].fire}) 2186 : displays.active = pre(displays.newActive) 2187 : displays.newActive = if Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({displays.local_inPort[1].node.resume}) then displays.oldActive else displays.inport_fire or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({displays.local_resume[1].fire, displays.local_resume[2].fire}) or displays.active and not displays.outport_fire and not displays.suspend_fire 2188 : displays.entry_restart[displays.entryIndices[1]] = true 2189 : displays.firstActive = if displays.active and not displays.suspend_fire and pre(displays.firstActive) <= 1 then pre(displays.firstActive) + 1 else if displays.resume_fire and displays.finished then 0 else pre(displays.firstActive) 2190 : displays.local_entry[1].fire = if displays.firstActive == 1 then displays.entry_restart[1] else displays.inport_fire 2191 : displays.local_suspend[1].available = displays.active and not pre(displays.suspend_fire) 2192 : displays.entryIndices[1] = 1 2193 : displays.local_entry[1].node.suspend = displays.active and displays.suspend_fire or not displays.active and pre(displays.active) 2194 : displays.local_entry[1].node.resume = displays.resume_fire and not displays.entry_fire 2195 : displays.local_inPort[1].node.resume = displays.connectorNode.node.resume 2196 : displays.local_inPort[1].node.suspend = displays.connectorNode.node.suspend 2197 : displays.local_resume[1].node.resume = displays.connectorNode.node.resume 2198 : displays.local_resume[1].node.suspend = displays.connectorNode.node.suspend 2199 : displays.local_resume[2].node.resume = displays.connectorNode.node.resume 2200 : displays.local_resume[2].node.suspend = displays.connectorNode.node.suspend 2201 : displays.local_suspend[1].node.resume = displays.connectorNode.node.resume 2202 : displays.local_suspend[1].node.suspend = displays.connectorNode.node.suspend 2203 : displays.checkOneDelayedTransitionPerLoopIn = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({displays.local_inPort[1].checkOneDelayedTransitionPerLoop}) and Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({displays.local_resume[1].checkOneDelayedTransitionPerLoop, displays.local_resume[2].checkOneDelayedTransitionPerLoop}) 2204 : displays.local_suspend[1].checkOneDelayedTransitionPerLoop = displays.checkOneDelayedTransitionPerLoopIn 2205 : displays.local_entry[1].checkOneDelayedTransitionPerLoop = displays.checkOneDelayedTransitionPerLoopIn 2206 : regular.time_.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.time_.inPort[1].fire, regular.time_.inPort[2].fire, regular.time_.inPort[3].fire, regular.time_.inPort[4].fire, regular.time_.inPort[5].fire, regular.time_.inPort[6].fire}) 2207 : regular.time_.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.time_.outPort[1].fire, regular.time_.outPort[2].fire, regular.time_.outPort[3].fire}) 2208 : regular.time_.newActive = if regular.time_.connectorNode.node.resume then regular.time_.oldActive else regular.time_.inport_fire or not regular.time_.outport_fire and not regular.time_.connectorNode.node.suspend 2209 : true = pre(regular.time_.newActive) 2210 : regular.time_.outPort[1].available = not regular.time_.connectorNode.node.suspend 2211 : regular.time_.outPort[2].available = regular.time_.outPort[1].available and not regular.time_.outPort[1].fire and not regular.time_.connectorNode.node.suspend 2212 : regular.time_.outPort[3].available = regular.time_.outPort[2].available and not regular.time_.outPort[2].fire and not regular.time_.connectorNode.node.suspend 2213 : regular.time_.outPort[1].checkOneDelayedTransitionPerLoop = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({regular.time_.inPort[1].checkOneDelayedTransitionPerLoop, regular.time_.inPort[2].checkOneDelayedTransitionPerLoop, regular.time_.inPort[3].checkOneDelayedTransitionPerLoop, regular.time_.inPort[4].checkOneDelayedTransitionPerLoop, regular.time_.inPort[5].checkOneDelayedTransitionPerLoop, regular.time_.inPort[6].checkOneDelayedTransitionPerLoop}) 2214 : regular.time_.outPort[2].checkOneDelayedTransitionPerLoop = regular.time_.outPort[1].checkOneDelayedTransitionPerLoop 2215 : regular.time_.outPort[3].checkOneDelayedTransitionPerLoop = regular.time_.outPort[1].checkOneDelayedTransitionPerLoop 2216 : regular.time_.inPort[1].node.resume = regular.time_.connectorNode.node.resume 2217 : regular.time_.inPort[1].node.suspend = regular.time_.connectorNode.node.suspend 2218 : regular.time_.inPort[2].node.resume = regular.time_.connectorNode.node.resume 2219 : regular.time_.inPort[2].node.suspend = regular.time_.connectorNode.node.suspend 2220 : regular.time_.inPort[3].node.resume = regular.time_.connectorNode.node.resume 2221 : regular.time_.inPort[3].node.suspend = regular.time_.connectorNode.node.suspend 2222 : regular.time_.inPort[4].node.resume = regular.time_.connectorNode.node.resume 2223 : regular.time_.inPort[4].node.suspend = regular.time_.connectorNode.node.suspend 2224 : regular.time_.inPort[5].node.resume = regular.time_.connectorNode.node.resume 2225 : regular.time_.inPort[5].node.suspend = regular.time_.connectorNode.node.suspend 2226 : regular.time_.inPort[6].node.resume = regular.time_.connectorNode.node.resume 2227 : regular.time_.inPort[6].node.suspend = regular.time_.connectorNode.node.suspend 2228 : regular.time_.outPort[1].node.resume = regular.time_.connectorNode.node.resume 2229 : regular.time_.outPort[1].node.suspend = regular.time_.connectorNode.node.suspend 2230 : regular.time_.outPort[2].node.resume = regular.time_.connectorNode.node.resume 2231 : regular.time_.outPort[2].node.suspend = regular.time_.connectorNode.node.suspend 2232 : regular.time_.outPort[3].node.resume = regular.time_.connectorNode.node.resume 2233 : regular.time_.outPort[3].node.suspend = regular.time_.connectorNode.node.suspend 2234 : regular.update.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.update.local_inPort[1].fire}) 2235 : regular.update.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.update.local_outPort[1].fire}) 2236 : regular.update.suspend_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.update.local_inPort[1].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.update.local_resume[1].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.update.local_suspend[1].fire, regular.update.local_suspend[2].fire, true}) 2237 : regular.update.resume_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.update.local_inPort[1].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.update.local_resume[1].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({true}) 2238 : regular.update.entry_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.update.local_entry[1].fire}) 2239 : regular.update.active = pre(regular.update.newActive) 2240 : regular.update.newActive = if Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.update.local_inPort[1].node.resume}) then regular.update.oldActive else regular.update.inport_fire or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({true}) or regular.update.active and not regular.update.outport_fire and not regular.update.suspend_fire 2241 : regular.update.entry_restart[regular.update.entryIndices[1]] = true 2242 : regular.update.firstActive = if regular.update.active and not regular.update.suspend_fire and pre(regular.update.firstActive) <= 1 then pre(regular.update.firstActive) + 1 else if regular.update.resume_fire and regular.update.finished then 0 else pre(regular.update.firstActive) 2243 : regular.update.local_entry[1].fire = if regular.update.firstActive == 1 then regular.update.entry_restart[1] else regular.update.inport_fire 2244 : regular.update.local_exit[1].fire = regular.update.outport_fire 2245 : regular.update.local_outPort[1].available = regular.update.active and Modelica_StateGraph2.Blocks.BooleanFunctions.allTrue({regular.update.local_exit[1].available}) 2246 : regular.update.local_suspend[1].available = regular.update.active and not pre(regular.update.suspend_fire) 2247 : regular.update.local_suspend[2].available = regular.update.local_suspend[1].available and not regular.update.local_suspend[1].fire 2248 : regular.update.local_suspend[3].available = regular.update.local_suspend[2].available and not regular.update.local_suspend[2].fire 2249 : regular.update.entryIndices[1] = 1 2250 : regular.update.local_entry[1].node.suspend = regular.update.active and regular.update.suspend_fire or not regular.update.active and pre(regular.update.active) 2251 : regular.update.local_entry[1].node.resume = regular.update.resume_fire and not regular.update.entry_fire 2252 : regular.update.local_inPort[1].node.resume = regular.update.connectorNode.node.resume 2253 : regular.update.local_inPort[1].node.suspend = regular.update.connectorNode.node.suspend 2254 : regular.update.local_resume[1].node.resume = regular.update.connectorNode.node.resume 2255 : regular.update.local_resume[1].node.suspend = regular.update.connectorNode.node.suspend 2256 : regular.update.local_outPort[1].node.resume = regular.update.connectorNode.node.resume 2257 : regular.update.local_outPort[1].node.suspend = regular.update.connectorNode.node.suspend 2258 : regular.update.local_suspend[1].node.resume = regular.update.connectorNode.node.resume 2259 : regular.update.local_suspend[1].node.suspend = regular.update.connectorNode.node.suspend 2260 : regular.update.local_suspend[2].node.resume = regular.update.connectorNode.node.resume 2261 : regular.update.local_suspend[2].node.suspend = regular.update.connectorNode.node.suspend 2262 : regular.update.local_suspend[3].node.resume = regular.update.connectorNode.node.resume 2263 : regular.update.local_suspend[3].node.suspend = regular.update.connectorNode.node.suspend 2264 : regular.update.checkOneDelayedTransitionPerLoopIn = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({regular.update.local_inPort[1].checkOneDelayedTransitionPerLoop}) and Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({regular.update.local_resume[1].checkOneDelayedTransitionPerLoop}) 2265 : regular.update.local_suspend[1].checkOneDelayedTransitionPerLoop = regular.update.checkOneDelayedTransitionPerLoopIn 2266 : regular.update.local_suspend[2].checkOneDelayedTransitionPerLoop = regular.update.checkOneDelayedTransitionPerLoopIn 2267 : regular.update.local_suspend[3].checkOneDelayedTransitionPerLoop = regular.update.checkOneDelayedTransitionPerLoopIn 2268 : regular.update.local_entry[regular.update.entryIndices[1]].checkOneDelayedTransitionPerLoop = regular.update.checkOneDelayedTransitionPerLoopIn 2269 : regular.update.local_outPort[1].checkOneDelayedTransitionPerLoop = regular.update.local_exit[1].checkOneDelayedTransitionPerLoop 2270 : regular.time_c_down.enableFire = regular.time_c_down.localCondition and regular.time_c_down.inPort.available 2271 : regular.time_c_down.fire = regular.time_c_down.enableFire 2272 : regular.time_c_down.outPort.checkOneDelayedTransitionPerLoop = true 2273 : regular.time_c_down.inPort.fire = regular.time_c_down.fire 2274 : regular.time_c_down.outPort.fire = regular.time_c_down.fire 2275 : regular.time_c_down.outPort.node.resume = regular.time_c_down.inPort.node.resume 2276 : regular.time_c_down.outPort.node.suspend = regular.time_c_down.inPort.node.suspend 2277 : regular.mode_c.enableFire = regular.mode_c.localCondition and regular.mode_c.inPort.available 2278 : regular.mode_c.fire = regular.mode_c.enableFire 2279 : regular.mode_c.outPort.checkOneDelayedTransitionPerLoop = regular.mode_c.inPort.checkOneDelayedTransitionPerLoop 2280 : regular.mode_c.inPort.fire = regular.mode_c.fire 2281 : regular.mode_c.outPort.fire = regular.mode_c.fire 2282 : regular.mode_c.outPort.node.resume = regular.mode_c.inPort.node.resume 2283 : regular.mode_c.outPort.node.suspend = regular.mode_c.inPort.node.suspend 2284 : regular.second.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.second.inPort[1].fire}) 2285 : regular.second.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.second.outPort[1].fire}) 2286 : regular.second.newActive = if regular.second.connectorNode.node.resume then regular.second.oldActive else regular.second.inport_fire or not regular.second.outport_fire and not regular.second.connectorNode.node.suspend 2287 : true = pre(regular.second.newActive) 2288 : regular.second.outPort[1].available = not regular.second.connectorNode.node.suspend 2289 : regular.second.inPort[1].node.resume = regular.second.connectorNode.node.resume 2290 : regular.second.inPort[1].node.suspend = regular.second.connectorNode.node.suspend 2291 : regular.second.outPort[1].node.resume = regular.second.connectorNode.node.resume 2292 : regular.second.outPort[1].node.suspend = regular.second.connectorNode.node.suspend 2293 : regular.minute.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.minute.inPort[1].fire}) 2294 : regular.minute.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.minute.outPort[1].fire}) 2295 : regular.minute.newActive = if regular.minute.connectorNode.node.resume then regular.minute.oldActive else regular.minute.inport_fire or not regular.minute.outport_fire and not regular.minute.connectorNode.node.suspend 2296 : true = pre(regular.minute.newActive) 2297 : regular.minute.outPort[1].available = not regular.minute.connectorNode.node.suspend 2298 : regular.minute.inPort[1].node.resume = regular.minute.connectorNode.node.resume 2299 : regular.minute.inPort[1].node.suspend = regular.minute.connectorNode.node.suspend 2300 : regular.minute.outPort[1].node.resume = regular.minute.connectorNode.node.resume 2301 : regular.minute.outPort[1].node.suspend = regular.minute.connectorNode.node.suspend 2302 : regular.ten_minutes.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.ten_minutes.inPort[1].fire}) 2303 : regular.ten_minutes.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.ten_minutes.outPort[1].fire}) 2304 : regular.ten_minutes.newActive = if regular.ten_minutes.connectorNode.node.resume then regular.ten_minutes.oldActive else regular.ten_minutes.inport_fire or 0.0 and not regular.ten_minutes.outport_fire and not regular.ten_minutes.connectorNode.node.suspend 2305 : 0.0 = pre(regular.ten_minutes.newActive) 2306 : regular.ten_minutes.outPort[1].available = 0.0 and not regular.ten_minutes.connectorNode.node.suspend 2307 : regular.ten_minutes.inPort[1].node.resume = regular.ten_minutes.connectorNode.node.resume 2308 : regular.ten_minutes.inPort[1].node.suspend = regular.ten_minutes.connectorNode.node.suspend 2309 : regular.ten_minutes.outPort[1].node.resume = regular.ten_minutes.connectorNode.node.resume 2310 : regular.ten_minutes.outPort[1].node.suspend = regular.ten_minutes.connectorNode.node.suspend 2311 : regular.second_c.enableFire = regular.second_c.localCondition and regular.second_c.inPort.available 2312 : regular.second_c.fire = regular.second_c.enableFire 2313 : regular.second_c.inPort.fire = regular.second_c.fire 2314 : regular.second_c.outPort.fire = regular.second_c.fire 2315 : regular.second_c.outPort.node.resume = regular.second_c.inPort.node.resume 2316 : regular.second_c.outPort.node.suspend = regular.second_c.inPort.node.suspend 2317 : regular.minute_c.enableFire = regular.minute_c.localCondition and regular.minute_c.inPort.available 2318 : regular.minute_c.fire = regular.minute_c.enableFire 2319 : regular.minute_c.inPort.fire = regular.minute_c.fire 2320 : regular.minute_c.outPort.fire = regular.minute_c.fire 2321 : regular.minute_c.outPort.node.resume = regular.minute_c.inPort.node.resume 2322 : regular.minute_c.outPort.node.suspend = regular.minute_c.inPort.node.suspend 2323 : regular.ten_minutes_c.enableFire = regular.ten_minutes_c.localCondition and regular.ten_minutes_c.inPort.available 2324 : regular.ten_minutes_c.fire = regular.ten_minutes_c.enableFire 2325 : regular.ten_minutes_c.inPort.fire = regular.ten_minutes_c.fire 2326 : regular.ten_minutes_c.outPort.fire = regular.ten_minutes_c.fire 2327 : regular.ten_minutes_c.outPort.node.resume = regular.ten_minutes_c.inPort.node.resume 2328 : regular.ten_minutes_c.outPort.node.suspend = regular.ten_minutes_c.inPort.node.suspend 2329 : regular.hour.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.hour.inPort[1].fire}) 2330 : regular.hour.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.hour.outPort[1].fire}) 2331 : regular.hour.newActive = if regular.hour.connectorNode.node.resume then regular.hour.oldActive else regular.hour.inport_fire or not regular.hour.outport_fire and not regular.hour.connectorNode.node.suspend 2332 : true = pre(regular.hour.newActive) 2333 : regular.hour.outPort[1].available = not regular.hour.connectorNode.node.suspend 2334 : regular.hour.inPort[1].node.resume = regular.hour.connectorNode.node.resume 2335 : regular.hour.inPort[1].node.suspend = regular.hour.connectorNode.node.suspend 2336 : regular.hour.outPort[1].node.resume = regular.hour.connectorNode.node.resume 2337 : regular.hour.outPort[1].node.suspend = regular.hour.connectorNode.node.suspend 2338 : regular.month.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.month.inPort[1].fire}) 2339 : regular.month.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.month.outPort[1].fire}) 2340 : regular.month.newActive = if regular.month.connectorNode.node.resume then regular.month.oldActive else regular.month.inport_fire or 0.0 and not regular.month.outport_fire and not regular.month.connectorNode.node.suspend 2341 : 0.0 = pre(regular.month.newActive) 2342 : regular.month.outPort[1].available = 0.0 and not regular.month.connectorNode.node.suspend 2343 : regular.month.inPort[1].node.resume = regular.month.connectorNode.node.resume 2344 : regular.month.inPort[1].node.suspend = regular.month.connectorNode.node.suspend 2345 : regular.month.outPort[1].node.resume = regular.month.connectorNode.node.resume 2346 : regular.month.outPort[1].node.suspend = regular.month.connectorNode.node.suspend 2347 : regular.hour_c.enableFire = regular.hour_c.localCondition and regular.hour_c.inPort.available 2348 : regular.hour_c.fire = regular.hour_c.enableFire 2349 : regular.hour_c.inPort.fire = regular.hour_c.fire 2350 : regular.hour_c.outPort.fire = regular.hour_c.fire 2351 : regular.hour_c.outPort.node.resume = regular.hour_c.inPort.node.resume 2352 : regular.hour_c.outPort.node.suspend = regular.hour_c.inPort.node.suspend 2353 : regular.month_c.enableFire = regular.month_c.localCondition and regular.month_c.inPort.available 2354 : regular.month_c.fire = regular.month_c.enableFire 2355 : regular.month_c.inPort.fire = regular.month_c.fire 2356 : regular.month_c.outPort.fire = regular.month_c.fire 2357 : regular.month_c.outPort.node.resume = regular.month_c.inPort.node.resume 2358 : regular.month_c.outPort.node.suspend = regular.month_c.inPort.node.suspend 2359 : regular.date_c.enableFire = regular.date_c.localCondition and regular.date_c.inPort.available 2360 : regular.date_c.fire = regular.date_c.enableFire 2361 : regular.date_c.inPort.fire = regular.date_c.fire 2362 : regular.date_c.outPort.fire = regular.date_c.fire 2363 : regular.date_c.outPort.node.resume = regular.date_c.inPort.node.resume 2364 : regular.date_c.outPort.node.suspend = regular.date_c.inPort.node.suspend 2365 : regular.day.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.day.inPort[1].fire}) 2366 : regular.day.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.day.outPort[1].fire}) 2367 : regular.day.newActive = if regular.day.connectorNode.node.resume then regular.day.oldActive else regular.day.inport_fire or not regular.day.outport_fire and not regular.day.connectorNode.node.suspend 2368 : true = pre(regular.day.newActive) 2369 : regular.day.outPort[1].available = not regular.day.connectorNode.node.suspend 2370 : regular.day.inPort[1].node.resume = regular.day.connectorNode.node.resume 2371 : regular.day.inPort[1].node.suspend = regular.day.connectorNode.node.suspend 2372 : regular.day.outPort[1].node.resume = regular.day.connectorNode.node.resume 2373 : regular.day.outPort[1].node.suspend = regular.day.connectorNode.node.suspend 2374 : regular.year.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.year.inPort[1].fire}) 2375 : regular.year.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.year.outPort[1].fire}) 2376 : regular.year.newActive = if regular.year.connectorNode.node.resume then regular.year.oldActive else regular.year.inport_fire or not regular.year.outport_fire and not regular.year.connectorNode.node.suspend 2377 : true = pre(regular.year.newActive) 2378 : regular.year.outPort[1].available = not regular.year.connectorNode.node.suspend 2379 : regular.year.inPort[1].node.resume = regular.year.connectorNode.node.resume 2380 : regular.year.inPort[1].node.suspend = regular.year.connectorNode.node.suspend 2381 : regular.year.outPort[1].node.resume = regular.year.connectorNode.node.resume 2382 : regular.year.outPort[1].node.suspend = regular.year.connectorNode.node.suspend 2383 : regular.mode.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.mode.inPort[1].fire}) 2384 : regular.mode.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.mode.outPort[1].fire}) 2385 : regular.mode.newActive = if regular.mode.connectorNode.node.resume then regular.mode.oldActive else regular.mode.inport_fire or not regular.mode.outport_fire and not regular.mode.connectorNode.node.suspend 2386 : true = pre(regular.mode.newActive) 2387 : regular.mode.outPort[1].available = not regular.mode.connectorNode.node.suspend 2388 : regular.mode.outPort[1].checkOneDelayedTransitionPerLoop = true 2389 : regular.mode.inPort[1].node.resume = regular.mode.connectorNode.node.resume 2390 : regular.mode.inPort[1].node.suspend = regular.mode.connectorNode.node.suspend 2391 : regular.mode.outPort[1].node.resume = regular.mode.connectorNode.node.resume 2392 : regular.mode.outPort[1].node.suspend = regular.mode.connectorNode.node.suspend 2393 : regular.day_c.enableFire = regular.day_c.localCondition and regular.day_c.inPort.available 2394 : regular.day_c.fire = regular.day_c.enableFire 2395 : regular.day_c.inPort.fire = regular.day_c.fire 2396 : regular.day_c.outPort.fire = regular.day_c.fire 2397 : regular.day_c.outPort.node.resume = regular.day_c.inPort.node.resume 2398 : regular.day_c.outPort.node.suspend = regular.day_c.inPort.node.suspend 2399 : regular.year_c.enableFire = regular.year_c.localCondition and regular.year_c.inPort.available 2400 : regular.year_c.fire = regular.year_c.enableFire 2401 : regular.year_c.inPort.fire = regular.year_c.fire 2402 : regular.year_c.outPort.fire = regular.year_c.fire 2403 : regular.year_c.outPort.node.resume = regular.year_c.inPort.node.resume 2404 : regular.year_c.outPort.node.suspend = regular.year_c.inPort.node.suspend 2405 : regular.time_quantity.expr[1] = 1 2406 : regular.time_quantity.expr[2] = 60 2407 : regular.time_quantity.expr[3] = 600 2408 : regular.time_quantity.expr[4] = 3600 2409 : regular.time_quantity.firstActiveIndex = Modelica_StateGraph2.Blocks.BooleanFunctions.firstTrueIndex(regular.time_quantity.u) 2410 : regular.time_quantity.y = if regular.time_quantity.firstActiveIndex > 0 then regular.time_quantity.expr[regular.time_quantity.firstActiveIndex] else if regular.time_quantity.use_pre_as_default then pre(regular.time_quantity.y) else regular.time_quantity.y_default 2411 : regular.perform_increment.enableFire = regular.perform_increment.localCondition and regular.perform_increment.inPort.available 2412 : true = regular.perform_increment.enableFire 2413 : regular.perform_increment.outPort.checkOneDelayedTransitionPerLoop = true 2414 : regular.perform_increment.outPort.node.resume = regular.perform_increment.inPort.node.resume 2415 : regular.perform_increment.outPort.node.suspend = regular.perform_increment.inPort.node.suspend 2416 : regular.date.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.date.inPort[1].fire}) 2417 : regular.date.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.date.outPort[1].fire}) 2418 : regular.date.newActive = if regular.date.connectorNode.node.resume then regular.date.oldActive else regular.date.inport_fire or not regular.date.outport_fire and not regular.date.connectorNode.node.suspend 2419 : true = pre(regular.date.newActive) 2420 : regular.date.outPort[1].available = not regular.date.connectorNode.node.suspend 2421 : regular.date.inPort[1].node.resume = regular.date.connectorNode.node.resume 2422 : regular.date.inPort[1].node.suspend = regular.date.connectorNode.node.suspend 2423 : regular.date.outPort[1].node.resume = regular.date.connectorNode.node.resume 2424 : regular.date.outPort[1].node.suspend = regular.date.connectorNode.node.suspend 2425 : regular.two_min_in_update.enableFire = regular.two_min_in_update.inPort.available 2426 : regular.two_min_in_update.fire = regular.two_min_in_update.enableFire and time >= regular.two_min_in_update.t_start + regular.two_min_in_update.waitTime 2427 : regular.two_min_in_update.outPort.checkOneDelayedTransitionPerLoop = true 2428 : regular.two_min_in_update.inPort.fire = regular.two_min_in_update.fire 2429 : regular.two_min_in_update.outPort.fire = regular.two_min_in_update.fire 2430 : regular.two_min_in_update.outPort.node.resume = regular.two_min_in_update.inPort.node.resume 2431 : regular.two_min_in_update.outPort.node.suspend = regular.two_min_in_update.inPort.node.suspend 2432 : regular.IO.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.IO.inPort[1].fire, regular.IO.inPort[2].fire}) 2433 : regular.IO.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.IO.outPort[1].fire, regular.IO.outPort[2].fire}) 2434 : regular.IO.newActive = if regular.IO.connectorNode.node.resume then regular.IO.oldActive else regular.IO.inport_fire or regular.IO.active and not regular.IO.outport_fire and not regular.IO.connectorNode.node.suspend 2435 : regular.IO.active = pre(regular.IO.newActive) 2436 : regular.IO.outPort[1].available = regular.IO.active and not regular.IO.connectorNode.node.suspend 2437 : regular.IO.outPort[2].available = regular.IO.outPort[1].available and not regular.IO.outPort[1].fire and not regular.IO.connectorNode.node.suspend 2438 : regular.IO.outPort[1].checkOneDelayedTransitionPerLoop = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({regular.IO.inPort[1].checkOneDelayedTransitionPerLoop, regular.IO.inPort[2].checkOneDelayedTransitionPerLoop}) 2439 : regular.IO.outPort[2].checkOneDelayedTransitionPerLoop = regular.IO.outPort[1].checkOneDelayedTransitionPerLoop 2440 : regular.IO.inPort[1].node.resume = regular.IO.connectorNode.node.resume 2441 : regular.IO.inPort[1].node.suspend = regular.IO.connectorNode.node.suspend 2442 : regular.IO.inPort[2].node.resume = regular.IO.connectorNode.node.resume 2443 : regular.IO.inPort[2].node.suspend = regular.IO.connectorNode.node.suspend 2444 : regular.IO.outPort[1].node.resume = regular.IO.connectorNode.node.resume 2445 : regular.IO.outPort[1].node.suspend = regular.IO.connectorNode.node.suspend 2446 : regular.IO.outPort[2].node.resume = regular.IO.connectorNode.node.resume 2447 : regular.IO.outPort[2].node.suspend = regular.IO.connectorNode.node.suspend 2448 : regular.OO.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.OO.inPort[1].fire, regular.OO.inPort[2].fire, regular.OO.inPort[3].fire}) 2449 : regular.OO.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.OO.outPort[1].fire, regular.OO.outPort[2].fire}) 2450 : regular.OO.newActive = if regular.OO.connectorNode.node.resume then regular.OO.oldActive else regular.OO.inport_fire or regular.OO.active and not regular.OO.outport_fire and not regular.OO.connectorNode.node.suspend 2451 : regular.OO.active = pre(regular.OO.newActive) 2452 : regular.OO.outPort[1].available = regular.OO.active and not regular.OO.connectorNode.node.suspend 2453 : regular.OO.outPort[2].available = regular.OO.outPort[1].available and not regular.OO.outPort[1].fire and not regular.OO.connectorNode.node.suspend 2454 : regular.OO.outPort[1].checkOneDelayedTransitionPerLoop = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({regular.OO.inPort[1].checkOneDelayedTransitionPerLoop, regular.OO.inPort[2].checkOneDelayedTransitionPerLoop, regular.OO.inPort[3].checkOneDelayedTransitionPerLoop}) 2455 : regular.OO.outPort[2].checkOneDelayedTransitionPerLoop = regular.OO.outPort[1].checkOneDelayedTransitionPerLoop 2456 : regular.OO.inPort[1].node.resume = regular.OO.connectorNode.node.resume 2457 : regular.OO.inPort[1].node.suspend = regular.OO.connectorNode.node.suspend 2458 : regular.OO.inPort[2].node.resume = regular.OO.connectorNode.node.resume 2459 : regular.OO.inPort[2].node.suspend = regular.OO.connectorNode.node.suspend 2460 : regular.OO.inPort[3].node.resume = regular.OO.connectorNode.node.resume 2461 : regular.OO.inPort[3].node.suspend = regular.OO.connectorNode.node.suspend 2462 : regular.OO.outPort[1].node.resume = regular.OO.connectorNode.node.resume 2463 : regular.OO.outPort[1].node.suspend = regular.OO.connectorNode.node.suspend 2464 : regular.OO.outPort[2].node.resume = regular.OO.connectorNode.node.resume 2465 : regular.OO.outPort[2].node.suspend = regular.OO.connectorNode.node.suspend 2466 : regular.OO_b_down.enableFire = regular.OO_b_down.localCondition and regular.OO_b_down.inPort.available 2467 : regular.OO_b_down.fire = regular.OO_b_down.enableFire 2468 : regular.OO_b_down.outPort.checkOneDelayedTransitionPerLoop = regular.OO_b_down.inPort.checkOneDelayedTransitionPerLoop 2469 : regular.OO_b_down.inPort.fire = regular.OO_b_down.fire 2470 : regular.OO_b_down.outPort.fire = regular.OO_b_down.fire 2471 : regular.OO_b_down.outPort.node.resume = regular.OO_b_down.inPort.node.resume 2472 : regular.OO_b_down.outPort.node.suspend = regular.OO_b_down.inPort.node.suspend 2473 : regular.OO_d_down.enableFire = regular.OO_d_down.localCondition and regular.OO_d_down.inPort.available 2474 : regular.OO_d_down.fire = regular.OO_d_down.enableFire 2475 : regular.OO_d_down.outPort.checkOneDelayedTransitionPerLoop = regular.OO_d_down.inPort.checkOneDelayedTransitionPerLoop 2476 : regular.OO_d_down.inPort.fire = regular.OO_d_down.fire 2477 : regular.OO_d_down.outPort.fire = regular.OO_d_down.fire 2478 : regular.OO_d_down.outPort.node.resume = regular.OO_d_down.inPort.node.resume 2479 : regular.OO_d_down.outPort.node.suspend = regular.OO_d_down.inPort.node.suspend 2480 : regular.OI.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.OI.inPort[1].fire, regular.OI.inPort[2].fire}) 2481 : regular.OI.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.OI.outPort[1].fire, regular.OI.outPort[2].fire}) 2482 : regular.OI.newActive = if regular.OI.connectorNode.node.resume then regular.OI.oldActive else regular.OI.inport_fire or regular.OI.active and not regular.OI.outport_fire and not regular.OI.connectorNode.node.suspend 2483 : regular.OI.active = pre(regular.OI.newActive) 2484 : regular.OI.outPort[1].available = regular.OI.active and not regular.OI.connectorNode.node.suspend 2485 : regular.OI.outPort[2].available = regular.OI.outPort[1].available and not regular.OI.outPort[1].fire and not regular.OI.connectorNode.node.suspend 2486 : regular.OI.outPort[1].checkOneDelayedTransitionPerLoop = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({regular.OI.inPort[1].checkOneDelayedTransitionPerLoop, regular.OI.inPort[2].checkOneDelayedTransitionPerLoop}) 2487 : regular.OI.outPort[2].checkOneDelayedTransitionPerLoop = regular.OI.outPort[1].checkOneDelayedTransitionPerLoop 2488 : regular.OI.inPort[1].node.resume = regular.OI.connectorNode.node.resume 2489 : regular.OI.inPort[1].node.suspend = regular.OI.connectorNode.node.suspend 2490 : regular.OI.inPort[2].node.resume = regular.OI.connectorNode.node.resume 2491 : regular.OI.inPort[2].node.suspend = regular.OI.connectorNode.node.suspend 2492 : regular.OI.outPort[1].node.resume = regular.OI.connectorNode.node.resume 2493 : regular.OI.outPort[1].node.suspend = regular.OI.connectorNode.node.suspend 2494 : regular.OI.outPort[2].node.resume = regular.OI.connectorNode.node.resume 2495 : regular.OI.outPort[2].node.suspend = regular.OI.connectorNode.node.suspend 2496 : regular.beep.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.beep.inPort[1].fire, regular.beep.inPort[2].fire}) 2497 : regular.beep.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.beep.outPort[1].fire, regular.beep.outPort[2].fire}) 2498 : regular.beep.newActive = if regular.beep.connectorNode.node.resume then regular.beep.oldActive else regular.beep.inport_fire or regular.beep.active and not regular.beep.outport_fire and not regular.beep.connectorNode.node.suspend 2499 : regular.beep.active = pre(regular.beep.newActive) 2500 : regular.beep.outPort[1].available = regular.beep.active and not regular.beep.connectorNode.node.suspend 2501 : regular.beep.outPort[2].available = regular.beep.outPort[1].available and not regular.beep.outPort[1].fire and not regular.beep.connectorNode.node.suspend 2502 : regular.beep.outPort[1].checkOneDelayedTransitionPerLoop = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({regular.beep.inPort[1].checkOneDelayedTransitionPerLoop, regular.beep.inPort[2].checkOneDelayedTransitionPerLoop}) 2503 : regular.beep.outPort[2].checkOneDelayedTransitionPerLoop = regular.beep.outPort[1].checkOneDelayedTransitionPerLoop 2504 : regular.beep.inPort[1].node.resume = regular.beep.connectorNode.node.resume 2505 : regular.beep.inPort[1].node.suspend = regular.beep.connectorNode.node.suspend 2506 : regular.beep.inPort[2].node.resume = regular.beep.connectorNode.node.resume 2507 : regular.beep.inPort[2].node.suspend = regular.beep.connectorNode.node.suspend 2508 : regular.beep.outPort[1].node.resume = regular.beep.connectorNode.node.resume 2509 : regular.beep.outPort[1].node.suspend = regular.beep.connectorNode.node.suspend 2510 : regular.beep.outPort[2].node.resume = regular.beep.connectorNode.node.resume 2511 : regular.beep.outPort[2].node.suspend = regular.beep.connectorNode.node.suspend 2512 : regular.IO_d_down.enableFire = regular.IO_d_down.localCondition and regular.IO_d_down.inPort.available 2513 : regular.IO_d_down.fire = regular.IO_d_down.enableFire 2514 : regular.IO_d_down.outPort.checkOneDelayedTransitionPerLoop = regular.IO_d_down.inPort.checkOneDelayedTransitionPerLoop 2515 : regular.IO_d_down.inPort.fire = regular.IO_d_down.fire 2516 : regular.IO_d_down.outPort.fire = regular.IO_d_down.fire 2517 : regular.IO_d_down.outPort.node.resume = regular.IO_d_down.inPort.node.resume 2518 : regular.IO_d_down.outPort.node.suspend = regular.IO_d_down.inPort.node.suspend 2519 : regular.OI_b_down.enableFire = regular.OI_b_down.localCondition and regular.OI_b_down.inPort.available 2520 : regular.OI_b_down.fire = regular.OI_b_down.enableFire 2521 : regular.OI_b_down.outPort.checkOneDelayedTransitionPerLoop = regular.OI_b_down.inPort.checkOneDelayedTransitionPerLoop 2522 : regular.OI_b_down.inPort.fire = regular.OI_b_down.fire 2523 : regular.OI_b_down.outPort.fire = regular.OI_b_down.fire 2524 : regular.OI_b_down.outPort.node.resume = regular.OI_b_down.inPort.node.resume 2525 : regular.OI_b_down.outPort.node.suspend = regular.OI_b_down.inPort.node.suspend 2526 : regular.beep_d_up.enableFire = regular.beep_d_up.localCondition and regular.beep_d_up.inPort.available 2527 : regular.beep_d_up.fire = regular.beep_d_up.enableFire and time >= regular.beep_d_up.t_start + regular.beep_d_up.waitTime 2528 : regular.beep_d_up.outPort.checkOneDelayedTransitionPerLoop = true 2529 : regular.beep_d_up.inPort.fire = regular.beep_d_up.fire 2530 : regular.beep_d_up.outPort.fire = regular.beep_d_up.fire 2531 : regular.beep_d_up.outPort.node.resume = regular.beep_d_up.inPort.node.resume 2532 : regular.beep_d_up.outPort.node.suspend = regular.beep_d_up.inPort.node.suspend 2533 : regular.beep_b_up.enableFire = regular.beep_b_up.localCondition and regular.beep_b_up.inPort.available 2534 : regular.beep_b_up.fire = regular.beep_b_up.enableFire and time >= regular.beep_b_up.t_start + regular.beep_b_up.waitTime 2535 : regular.beep_b_up.outPort.checkOneDelayedTransitionPerLoop = true 2536 : regular.beep_b_up.inPort.fire = regular.beep_b_up.fire 2537 : regular.beep_b_up.outPort.fire = regular.beep_b_up.fire 2538 : regular.beep_b_up.outPort.node.resume = regular.beep_b_up.inPort.node.resume 2539 : regular.beep_b_up.outPort.node.suspend = regular.beep_b_up.inPort.node.suspend 2540 : regular.IO_b_up.enableFire = regular.IO_b_up.localCondition and regular.IO_b_up.inPort.available 2541 : regular.IO_b_up.fire = regular.IO_b_up.enableFire and time >= regular.IO_b_up.t_start + regular.IO_b_up.waitTime 2542 : regular.IO_b_up.outPort.checkOneDelayedTransitionPerLoop = true 2543 : regular.IO_b_up.inPort.fire = regular.IO_b_up.fire 2544 : regular.IO_b_up.outPort.fire = regular.IO_b_up.fire 2545 : regular.IO_b_up.outPort.node.resume = regular.IO_b_up.inPort.node.resume 2546 : regular.IO_b_up.outPort.node.suspend = regular.IO_b_up.inPort.node.suspend 2547 : regular.OI_d_up.enableFire = regular.OI_d_up.localCondition and regular.OI_d_up.inPort.available 2548 : regular.OI_d_up.fire = regular.OI_d_up.enableFire and time >= regular.OI_d_up.t_start + regular.OI_d_up.waitTime 2549 : regular.OI_d_up.outPort.checkOneDelayedTransitionPerLoop = true 2550 : regular.OI_d_up.inPort.fire = regular.OI_d_up.fire 2551 : regular.OI_d_up.outPort.fire = regular.OI_d_up.fire 2552 : regular.OI_d_up.outPort.node.resume = regular.OI_d_up.inPort.node.resume 2553 : regular.OI_d_up.outPort.node.suspend = regular.OI_d_up.inPort.node.suspend 2554 : regular.date_.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.date_.inPort[1].fire}) 2555 : regular.date_.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.date_.outPort[1].fire, regular.date_.outPort[2].fire}) 2556 : regular.date_.newActive = if regular.date_.connectorNode.node.resume then regular.date_.oldActive else regular.date_.inport_fire or not regular.date_.outport_fire and not regular.date_.connectorNode.node.suspend 2557 : true = pre(regular.date_.newActive) 2558 : regular.date_.outPort[1].available = not regular.date_.connectorNode.node.suspend 2559 : regular.date_.outPort[2].available = regular.date_.outPort[1].available and not regular.date_.outPort[1].fire and not regular.date_.connectorNode.node.suspend 2560 : regular.date_.outPort[1].checkOneDelayedTransitionPerLoop = true 2561 : regular.date_.outPort[2].checkOneDelayedTransitionPerLoop = regular.date_.outPort[1].checkOneDelayedTransitionPerLoop 2562 : regular.date_.inPort[1].node.resume = regular.date_.connectorNode.node.resume 2563 : regular.date_.inPort[1].node.suspend = regular.date_.connectorNode.node.suspend 2564 : regular.date_.outPort[1].node.resume = regular.date_.connectorNode.node.resume 2565 : regular.date_.outPort[1].node.suspend = regular.date_.connectorNode.node.suspend 2566 : regular.date_.outPort[2].node.resume = regular.date_.connectorNode.node.resume 2567 : regular.date_.outPort[2].node.suspend = regular.date_.connectorNode.node.suspend 2568 : regular.time_d_b_up.enableFire = regular.time_d_b_up.localCondition and regular.time_d_b_up.inPort.available 2569 : regular.time_d_b_up.fire = regular.time_d_b_up.enableFire 2570 : regular.time_d_b_up.inPort.fire = regular.time_d_b_up.fire 2571 : regular.time_d_b_up.outPort.fire = regular.time_d_b_up.fire 2572 : regular.time_d_b_up.outPort.node.resume = regular.time_d_b_up.inPort.node.resume 2573 : regular.time_d_b_up.outPort.node.suspend = regular.time_d_b_up.inPort.node.suspend 2574 : regular.two_min_in_date.enableFire = 0.0 and regular.two_min_in_date.inPort.available 2575 : regular.two_min_in_date.fire = regular.two_min_in_date.enableFire and time >= regular.two_min_in_date.t_start + regular.two_min_in_date.waitTime 2576 : regular.two_min_in_date.outPort.checkOneDelayedTransitionPerLoop = true 2577 : regular.two_min_in_date.inPort.fire = regular.two_min_in_date.fire 2578 : regular.two_min_in_date.outPort.fire = regular.two_min_in_date.fire 2579 : regular.two_min_in_date.outPort.node.resume = regular.two_min_in_date.inPort.node.resume 2580 : regular.two_min_in_date.outPort.node.suspend = regular.two_min_in_date.inPort.node.suspend 2581 : regular.date_d.enableFire = regular.date_d.localCondition and regular.date_d.inPort.available 2582 : regular.date_d.fire = regular.date_d.enableFire 2583 : regular.date_d.outPort.checkOneDelayedTransitionPerLoop = regular.date_d.inPort.checkOneDelayedTransitionPerLoop 2584 : regular.date_d.inPort.fire = regular.date_d.fire 2585 : regular.date_d.outPort.fire = regular.date_d.fire 2586 : regular.date_d.outPort.node.resume = regular.date_d.inPort.node.resume 2587 : regular.date_d.outPort.node.suspend = regular.date_d.inPort.node.suspend 2588 : regular.and1.y = regular.and1.u1 and regular.and1.u2 2589 : regular.edge1.y = regular.edge1.u and not pre(regular.edge1.u) 2590 : regular.not1.y = not regular.not1.u 2591 : regular.not2.y = not regular.not2.u 2592 : regular.not3.y = not regular.not3.u 2593 : regular.not4.y = not regular.not4.u 2594 : regular.not5.y = not regular.not5.u 2595 : regular.T2.enableFire = regular.T2.localCondition and regular.T2.inPort.available 2596 : regular.T2.fire = regular.T2.enableFire 2597 : regular.T2.outPort.checkOneDelayedTransitionPerLoop = regular.T2.inPort.checkOneDelayedTransitionPerLoop 2598 : regular.T2.inPort.fire = regular.T2.fire 2599 : regular.T2.outPort.fire = regular.T2.fire 2600 : regular.T2.outPort.node.resume = regular.T2.inPort.node.resume 2601 : regular.T2.outPort.node.suspend = regular.T2.inPort.node.suspend 2602 : regular.pre1.y = regular.pre1.u and not pre(regular.pre1.u) 2603 : regular.time_setting.local_set = regular.time_setting.y_start 2604 : regular.show_time.y = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(regular.show_time.u) 2605 : regular.show_date.y = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(regular.show_date.u) 2606 : regular.date_quantity.expr[1] = 100 2607 : regular.date_quantity.expr[2] = 1 2608 : regular.date_quantity.firstActiveIndex = Modelica_StateGraph2.Blocks.BooleanFunctions.firstTrueIndex(regular.date_quantity.u) 2609 : regular.date_quantity.y = if regular.date_quantity.firstActiveIndex > 0 then regular.date_quantity.expr[regular.date_quantity.firstActiveIndex] else if regular.date_quantity.use_pre_as_default then pre(regular.date_quantity.y) else regular.date_quantity.y_default 2610 : regular.date_setting.local_set = regular.date_setting.y_start 2611 : regular.mode_quantity.expr[1] = 1 2612 : regular.mode_quantity.firstActiveIndex = Modelica_StateGraph2.Blocks.BooleanFunctions.firstTrueIndex(regular.mode_quantity.u) 2613 : regular.mode_quantity.y = if regular.mode_quantity.firstActiveIndex > 0 then regular.mode_quantity.expr[regular.mode_quantity.firstActiveIndex] else if regular.mode_quantity.use_pre_as_default then pre(regular.mode_quantity.y) else regular.mode_quantity.y_default 2614 : regular.mode_setting.local_set = regular.mode_setting.y_start 2615 : regular.year_quantity.expr[1] = 1 2616 : regular.year_quantity.firstActiveIndex = Modelica_StateGraph2.Blocks.BooleanFunctions.firstTrueIndex(regular.year_quantity.u) 2617 : regular.year_quantity.y = if regular.year_quantity.firstActiveIndex > 0 then regular.year_quantity.expr[regular.year_quantity.firstActiveIndex] else if regular.year_quantity.use_pre_as_default then pre(regular.year_quantity.y) else regular.year_quantity.y_default 2618 : regular.year_setting.local_set = regular.year_setting.y_start 2619 : regular.day_quantity.expr[1] = 1 2620 : regular.day_quantity.firstActiveIndex = Modelica_StateGraph2.Blocks.BooleanFunctions.firstTrueIndex(regular.day_quantity.u) 2621 : regular.day_quantity.y = if regular.day_quantity.firstActiveIndex > 0 then regular.day_quantity.expr[regular.day_quantity.firstActiveIndex] else if regular.day_quantity.use_pre_as_default then pre(regular.day_quantity.y) else regular.day_quantity.y_default 2622 : regular.day_setting.local_set = regular.day_setting.y_start 2623 : regular.pre2.y = regular.pre2.u and not pre(regular.pre2.u) 2624 : regular.edge2.y = regular.edge2.u and not pre(regular.edge2.u) 2625 : regular.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.local_inPort[1].fire, regular.local_inPort[2].fire, regular.local_inPort[3].fire, regular.local_inPort[4].fire}) 2626 : regular.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.local_outPort[1].fire}) 2627 : regular.suspend_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.local_inPort[1].node.suspend, regular.local_inPort[2].node.suspend, regular.local_inPort[3].node.suspend, regular.local_inPort[4].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.local_resume[1].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.local_suspend[1].fire}) 2628 : regular.resume_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.local_inPort[1].node.resume, regular.local_inPort[2].node.resume, regular.local_inPort[3].node.resume, regular.local_inPort[4].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.local_resume[1].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.local_resume[1].fire}) 2629 : regular.entry_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.local_entry[1].fire, regular.local_entry[2].fire}) 2630 : regular.active = pre(regular.newActive) 2631 : regular.newActive = if Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.local_inPort[1].node.resume, regular.local_inPort[2].node.resume, regular.local_inPort[3].node.resume, regular.local_inPort[4].node.resume}) then regular.oldActive else regular.inport_fire or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({regular.local_resume[1].fire}) or regular.active and not regular.outport_fire and not regular.suspend_fire 2632 : regular.entry_restart[regular.entryIndices[1]] = true 2633 : regular.entry_restart[regular.entryIndices[2]] = false 2634 : regular.firstActive = if regular.active and not regular.suspend_fire and pre(regular.firstActive) <= 1 then pre(regular.firstActive) + 1 else if regular.resume_fire and regular.finished then 0 else pre(regular.firstActive) 2635 : regular.local_entry[1].fire = if regular.firstActive == 1 then regular.entry_restart[1] else regular.inport_fire 2636 : regular.local_entry[2].fire = if regular.firstActive == 1 then regular.entry_restart[2] else regular.inport_fire 2637 : regular.local_exit[1].fire = regular.outport_fire 2638 : regular.local_outPort[1].available = regular.active and Modelica_StateGraph2.Blocks.BooleanFunctions.allTrue({regular.local_exit[1].available}) 2639 : regular.local_suspend[1].available = regular.active and not pre(regular.suspend_fire) 2640 : regular.entryIndices[1] = 1 2641 : regular.entryIndices[2] = 1 2642 : regular.local_entry[1].node.suspend = regular.active and regular.suspend_fire or not regular.active and pre(regular.active) 2643 : regular.local_entry[1].node.resume = regular.resume_fire and not regular.entry_fire 2644 : regular.local_entry[2].node.suspend = regular.local_entry[1].node.suspend 2645 : regular.local_entry[2].node.resume = regular.local_entry[1].node.resume 2646 : regular.local_inPort[1].node.resume = regular.connectorNode.node.resume 2647 : regular.local_inPort[1].node.suspend = regular.connectorNode.node.suspend 2648 : regular.local_inPort[2].node.resume = regular.connectorNode.node.resume 2649 : regular.local_inPort[2].node.suspend = regular.connectorNode.node.suspend 2650 : regular.local_inPort[3].node.resume = regular.connectorNode.node.resume 2651 : regular.local_inPort[3].node.suspend = regular.connectorNode.node.suspend 2652 : regular.local_inPort[4].node.resume = regular.connectorNode.node.resume 2653 : regular.local_inPort[4].node.suspend = regular.connectorNode.node.suspend 2654 : regular.local_resume[1].node.resume = regular.connectorNode.node.resume 2655 : regular.local_resume[1].node.suspend = regular.connectorNode.node.suspend 2656 : regular.local_outPort[1].node.resume = regular.connectorNode.node.resume 2657 : regular.local_outPort[1].node.suspend = regular.connectorNode.node.suspend 2658 : regular.local_suspend[1].node.resume = regular.connectorNode.node.resume 2659 : regular.local_suspend[1].node.suspend = regular.connectorNode.node.suspend 2660 : regular.checkOneDelayedTransitionPerLoopIn = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({regular.local_inPort[1].checkOneDelayedTransitionPerLoop, regular.local_inPort[2].checkOneDelayedTransitionPerLoop, regular.local_inPort[3].checkOneDelayedTransitionPerLoop, regular.local_inPort[4].checkOneDelayedTransitionPerLoop}) and Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({regular.local_resume[1].checkOneDelayedTransitionPerLoop}) 2661 : regular.local_suspend[1].checkOneDelayedTransitionPerLoop = regular.checkOneDelayedTransitionPerLoopIn 2662 : regular.local_entry[regular.entryIndices[1]].checkOneDelayedTransitionPerLoop = regular.checkOneDelayedTransitionPerLoopIn 2663 : regular.local_entry[regular.entryIndices[2]].checkOneDelayedTransitionPerLoop = true 2664 : regular.local_outPort[1].checkOneDelayedTransitionPerLoop = regular.local_exit[1].checkOneDelayedTransitionPerLoop 2665 : out.chime.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 2666 : out.chime.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 2667 : out.chime.suspend_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.chime.local_resume[1].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.chime.local_suspend[1].fire}) 2668 : out.chime.resume_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.chime.local_resume[1].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.chime.local_resume[1].fire}) 2669 : out.chime.entry_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.chime.local_entry[1].fire}) 2670 : out.chime.active = pre(out.chime.newActive) 2671 : out.chime.newActive = if Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) then out.chime.oldActive else out.chime.inport_fire or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.chime.local_resume[1].fire}) or out.chime.active and not out.chime.outport_fire and not out.chime.suspend_fire 2672 : out.chime.entry_restart[out.chime.entryIndices[1]] = true 2673 : out.chime.firstActive = if out.chime.active and not out.chime.suspend_fire and pre(out.chime.firstActive) <= 1 then pre(out.chime.firstActive) + 1 else if out.chime.resume_fire and out.chime.finished then 0 else pre(out.chime.firstActive) 2674 : out.chime.local_entry[1].fire = if out.chime.firstActive == 1 then out.chime.entry_restart[1] else out.chime.inport_fire 2675 : out.chime.local_suspend[1].available = out.chime.active and not pre(out.chime.suspend_fire) 2676 : out.chime.entryIndices[1] = 1 2677 : out.chime.local_entry[1].node.suspend = out.chime.active and out.chime.suspend_fire or not out.chime.active and pre(out.chime.active) 2678 : out.chime.local_entry[1].node.resume = out.chime.resume_fire and not out.chime.entry_fire 2679 : out.chime.local_resume[1].node.resume = out.chime.connectorNode.node.resume 2680 : out.chime.local_resume[1].node.suspend = out.chime.connectorNode.node.suspend 2681 : out.chime.local_suspend[1].node.resume = out.chime.connectorNode.node.resume 2682 : out.chime.local_suspend[1].node.suspend = out.chime.connectorNode.node.suspend 2683 : out.chime_off.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.chime_off.inPort[1].fire, out.chime_off.inPort[2].fire}) 2684 : out.chime_off.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.chime_off.outPort[1].fire}) 2685 : out.chime_off.newActive = if out.chime_off.connectorNode.node.resume then out.chime_off.oldActive else out.chime_off.inport_fire or not out.chime_off.outport_fire and not out.chime_off.connectorNode.node.suspend 2686 : true = pre(out.chime_off.newActive) 2687 : out.chime_off.outPort[1].available = not out.chime_off.connectorNode.node.suspend 2688 : out.chime_off.inPort[1].node.resume = out.chime_off.connectorNode.node.resume 2689 : out.chime_off.inPort[1].node.suspend = out.chime_off.connectorNode.node.suspend 2690 : out.chime_off.inPort[2].node.resume = out.chime_off.connectorNode.node.resume 2691 : out.chime_off.inPort[2].node.suspend = out.chime_off.connectorNode.node.suspend 2692 : out.chime_off.outPort[1].node.resume = out.chime_off.connectorNode.node.resume 2693 : out.chime_off.outPort[1].node.suspend = out.chime_off.connectorNode.node.suspend 2694 : out.chime_off_d.enableFire = out.chime_off_d.localCondition and out.chime_off_d.inPort.available 2695 : out.chime_off_d.fire = out.chime_off_d.enableFire 2696 : out.chime_off_d.inPort.fire = out.chime_off_d.fire 2697 : out.chime_off_d.outPort.fire = out.chime_off_d.fire 2698 : out.chime_off_d.outPort.node.resume = out.chime_off_d.inPort.node.resume 2699 : out.chime_off_d.outPort.node.suspend = out.chime_off_d.inPort.node.suspend 2700 : out.chime_on.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.chime_on.inPort[1].fire}) 2701 : out.chime_on.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.chime_on.outPort[1].fire}) 2702 : out.chime_on.newActive = if out.chime_on.connectorNode.node.resume then out.chime_on.oldActive else out.chime_on.inport_fire or 0.0 and not out.chime_on.outport_fire and not out.chime_on.connectorNode.node.suspend 2703 : 0.0 = pre(out.chime_on.newActive) 2704 : out.chime_on.outPort[1].available = 0.0 and not out.chime_on.connectorNode.node.suspend 2705 : out.chime_on.inPort[1].node.resume = out.chime_on.connectorNode.node.resume 2706 : out.chime_on.inPort[1].node.suspend = out.chime_on.connectorNode.node.suspend 2707 : out.chime_on.outPort[1].node.resume = out.chime_on.connectorNode.node.resume 2708 : out.chime_on.outPort[1].node.suspend = out.chime_on.connectorNode.node.suspend 2709 : out.chime_on_d.enableFire = out.chime_on_d.localCondition and out.chime_on_d.inPort.available 2710 : out.chime_on_d.fire = out.chime_on_d.enableFire 2711 : out.chime_on_d.inPort.fire = out.chime_on_d.fire 2712 : out.chime_on_d.outPort.fire = out.chime_on_d.fire 2713 : out.chime_on_d.outPort.node.resume = out.chime_on_d.inPort.node.resume 2714 : out.chime_on_d.outPort.node.suspend = out.chime_on_d.inPort.node.suspend 2715 : out.alarm1.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 2716 : out.alarm1.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 2717 : out.alarm1.suspend_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm1.local_resume[1].node.suspend, out.alarm1.local_resume[2].node.suspend, out.alarm1.local_resume[3].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm1.local_suspend[1].fire, out.alarm1.local_suspend[2].fire}) 2718 : out.alarm1.resume_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm1.local_resume[1].node.resume, out.alarm1.local_resume[2].node.resume, out.alarm1.local_resume[3].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm1.local_resume[1].fire, out.alarm1.local_resume[2].fire, out.alarm1.local_resume[3].fire}) 2719 : out.alarm1.entry_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm1.local_entry[1].fire}) 2720 : out.alarm1.active = pre(out.alarm1.newActive) 2721 : out.alarm1.newActive = if Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) then out.alarm1.oldActive else out.alarm1.inport_fire or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm1.local_resume[1].fire, out.alarm1.local_resume[2].fire, out.alarm1.local_resume[3].fire}) or out.alarm1.active and not out.alarm1.outport_fire and not out.alarm1.suspend_fire 2722 : out.alarm1.entry_restart[out.alarm1.entryIndices[1]] = true 2723 : out.alarm1.firstActive = if out.alarm1.active and not out.alarm1.suspend_fire and pre(out.alarm1.firstActive) <= 1 then pre(out.alarm1.firstActive) + 1 else if out.alarm1.resume_fire and out.alarm1.finished then 0 else pre(out.alarm1.firstActive) 2724 : out.alarm1.local_entry[1].fire = if out.alarm1.firstActive == 1 then out.alarm1.entry_restart[1] else out.alarm1.inport_fire 2725 : out.alarm1.local_suspend[1].available = out.alarm1.active and not pre(out.alarm1.suspend_fire) 2726 : out.alarm1.local_suspend[2].available = out.alarm1.local_suspend[1].available and not out.alarm1.local_suspend[1].fire 2727 : out.alarm1.entryIndices[1] = 1 2728 : out.alarm1.local_entry[1].node.suspend = out.alarm1.active and out.alarm1.suspend_fire or not out.alarm1.active and pre(out.alarm1.active) 2729 : out.alarm1.local_entry[1].node.resume = out.alarm1.resume_fire and not out.alarm1.entry_fire 2730 : out.alarm1.local_resume[1].node.resume = out.alarm1.connectorNode.node.resume 2731 : out.alarm1.local_resume[1].node.suspend = out.alarm1.connectorNode.node.suspend 2732 : out.alarm1.local_resume[2].node.resume = out.alarm1.connectorNode.node.resume 2733 : out.alarm1.local_resume[2].node.suspend = out.alarm1.connectorNode.node.suspend 2734 : out.alarm1.local_resume[3].node.resume = out.alarm1.connectorNode.node.resume 2735 : out.alarm1.local_resume[3].node.suspend = out.alarm1.connectorNode.node.suspend 2736 : out.alarm1.local_suspend[1].node.resume = out.alarm1.connectorNode.node.resume 2737 : out.alarm1.local_suspend[1].node.suspend = out.alarm1.connectorNode.node.suspend 2738 : out.alarm1.local_suspend[2].node.resume = out.alarm1.connectorNode.node.resume 2739 : out.alarm1.local_suspend[2].node.suspend = out.alarm1.connectorNode.node.suspend 2740 : out.alarm1.checkOneDelayedTransitionPerLoopIn = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({}) and Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({out.alarm1.local_resume[1].checkOneDelayedTransitionPerLoop, out.alarm1.local_resume[2].checkOneDelayedTransitionPerLoop, out.alarm1.local_resume[3].checkOneDelayedTransitionPerLoop}) 2741 : out.alarm1.local_suspend[1].checkOneDelayedTransitionPerLoop = out.alarm1.checkOneDelayedTransitionPerLoopIn 2742 : out.alarm1.local_suspend[2].checkOneDelayedTransitionPerLoop = out.alarm1.checkOneDelayedTransitionPerLoopIn 2743 : out.alarm1.local_entry[1].checkOneDelayedTransitionPerLoop = out.alarm1.checkOneDelayedTransitionPerLoopIn 2744 : out.alarm1_off.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm1_off.inPort[1].fire, out.alarm1_off.inPort[2].fire}) 2745 : out.alarm1_off.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm1_off.outPort[1].fire}) 2746 : out.alarm1_off.newActive = if out.alarm1_off.connectorNode.node.resume then out.alarm1_off.oldActive else out.alarm1_off.inport_fire or not out.alarm1_off.outport_fire and not out.alarm1_off.connectorNode.node.suspend 2747 : true = pre(out.alarm1_off.newActive) 2748 : out.alarm1_off.outPort[1].available = not out.alarm1_off.connectorNode.node.suspend 2749 : out.alarm1_off.outPort[1].checkOneDelayedTransitionPerLoop = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({out.alarm1_off.inPort[1].checkOneDelayedTransitionPerLoop, out.alarm1_off.inPort[2].checkOneDelayedTransitionPerLoop}) 2750 : out.alarm1_off.inPort[1].node.resume = out.alarm1_off.connectorNode.node.resume 2751 : out.alarm1_off.inPort[1].node.suspend = out.alarm1_off.connectorNode.node.suspend 2752 : out.alarm1_off.inPort[2].node.resume = out.alarm1_off.connectorNode.node.resume 2753 : out.alarm1_off.inPort[2].node.suspend = out.alarm1_off.connectorNode.node.suspend 2754 : out.alarm1_off.outPort[1].node.resume = out.alarm1_off.connectorNode.node.resume 2755 : out.alarm1_off.outPort[1].node.suspend = out.alarm1_off.connectorNode.node.suspend 2756 : out.alarm1_off_d.enableFire = out.alarm1_off_d.localCondition and out.alarm1_off_d.inPort.available 2757 : out.alarm1_off_d.fire = out.alarm1_off_d.enableFire 2758 : out.alarm1_off_d.outPort.checkOneDelayedTransitionPerLoop = out.alarm1_off_d.inPort.checkOneDelayedTransitionPerLoop 2759 : out.alarm1_off_d.inPort.fire = out.alarm1_off_d.fire 2760 : out.alarm1_off_d.outPort.fire = out.alarm1_off_d.fire 2761 : out.alarm1_off_d.outPort.node.resume = out.alarm1_off_d.inPort.node.resume 2762 : out.alarm1_off_d.outPort.node.suspend = out.alarm1_off_d.inPort.node.suspend 2763 : out.alarm1_on.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm1_on.inPort[1].fire}) 2764 : out.alarm1_on.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm1_on.outPort[1].fire}) 2765 : out.alarm1_on.newActive = if out.alarm1_on.connectorNode.node.resume then out.alarm1_on.oldActive else out.alarm1_on.inport_fire or out.chime_on.inPort[1].checkOneDelayedTransitionPerLoop and not out.alarm1_on.outport_fire and not out.alarm1_on.connectorNode.node.suspend 2766 : out.chime_on.inPort[1].checkOneDelayedTransitionPerLoop = pre(out.alarm1_on.newActive) 2767 : out.alarm1_on.outPort[1].available = out.chime_on.inPort[1].checkOneDelayedTransitionPerLoop and not out.alarm1_on.connectorNode.node.suspend 2768 : out.alarm1_on.inPort[1].node.resume = out.alarm1_on.connectorNode.node.resume 2769 : out.alarm1_on.inPort[1].node.suspend = out.alarm1_on.connectorNode.node.suspend 2770 : out.alarm1_on.outPort[1].node.resume = out.alarm1_on.connectorNode.node.resume 2771 : out.alarm1_on.outPort[1].node.suspend = out.alarm1_on.connectorNode.node.suspend 2772 : out.alarm1_on_d.enableFire = out.alarm1_on_d.localCondition and out.alarm1_on_d.inPort.available 2773 : out.alarm1_on_d.fire = out.alarm1_on_d.enableFire 2774 : out.alarm1_on_d.outPort.checkOneDelayedTransitionPerLoop = true 2775 : out.alarm1_on_d.inPort.fire = out.alarm1_on_d.fire 2776 : out.alarm1_on_d.outPort.fire = out.alarm1_on_d.fire 2777 : out.alarm1_on_d.outPort.node.resume = out.alarm1_on_d.inPort.node.resume 2778 : out.alarm1_on_d.outPort.node.suspend = out.alarm1_on_d.inPort.node.suspend 2779 : out.update1.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update1.local_inPort[1].fire}) 2780 : out.update1.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update1.local_outPort[1].fire}) 2781 : out.update1.suspend_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update1.local_inPort[1].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update1.local_resume[1].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.local_outPort[1].checkOneDelayedTransitionPerLoop, out.update1.local_suspend[2].fire}) 2782 : out.update1.resume_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update1.local_inPort[1].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update1.local_resume[1].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.local_outPort[1].checkOneDelayedTransitionPerLoop}) 2783 : out.update1.entry_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update1.local_entry[1].fire}) 2784 : out.update1.active = pre(out.update1.newActive) 2785 : out.update1.newActive = if Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update1.local_inPort[1].node.resume}) then out.update1.oldActive else out.update1.inport_fire or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.local_outPort[1].checkOneDelayedTransitionPerLoop}) or out.update1.active and not out.update1.outport_fire and not out.update1.suspend_fire 2786 : out.update1.entry_restart[out.update1.entryIndices[1]] = true 2787 : out.update1.firstActive = if out.update1.active and not out.update1.suspend_fire and pre(out.update1.firstActive) <= 1 then pre(out.update1.firstActive) + 1 else if out.update1.resume_fire and out.update1.finished then 0 else pre(out.update1.firstActive) 2788 : out.update1.local_entry[1].fire = if out.update1.firstActive == 1 then out.update1.entry_restart[1] else out.update1.inport_fire 2789 : out.update1.local_exit[1].fire = out.update1.outport_fire 2790 : out.update1.local_outPort[1].available = out.update1.active and Modelica_StateGraph2.Blocks.BooleanFunctions.allTrue({out.update1.local_exit[1].available}) 2791 : out.update1.local_suspend[1].available = out.update1.active and not pre(out.update1.suspend_fire) 2792 : out.update1.local_suspend[2].available = out.update1.local_suspend[1].available and not out.local_outPort[1].checkOneDelayedTransitionPerLoop 2793 : out.update1.entryIndices[1] = 1 2794 : out.update1.local_entry[1].node.suspend = out.update1.active and out.update1.suspend_fire or not out.update1.active and pre(out.update1.active) 2795 : out.update1.local_entry[1].node.resume = out.update1.resume_fire and not out.update1.entry_fire 2796 : out.update1.local_inPort[1].node.resume = out.update1.connectorNode.node.resume 2797 : out.update1.local_inPort[1].node.suspend = out.update1.connectorNode.node.suspend 2798 : out.update1.local_resume[1].node.resume = out.update1.connectorNode.node.resume 2799 : out.update1.local_resume[1].node.suspend = out.update1.connectorNode.node.suspend 2800 : out.update1.local_outPort[1].node.resume = out.update1.connectorNode.node.resume 2801 : out.update1.local_outPort[1].node.suspend = out.update1.connectorNode.node.suspend 2802 : out.update1.local_suspend[1].node.resume = out.update1.connectorNode.node.resume 2803 : out.update1.local_suspend[1].node.suspend = out.update1.connectorNode.node.suspend 2804 : out.update1.local_suspend[2].node.resume = out.update1.connectorNode.node.resume 2805 : out.update1.local_suspend[2].node.suspend = out.update1.connectorNode.node.suspend 2806 : out.update1.checkOneDelayedTransitionPerLoopIn = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({out.update1.local_inPort[1].checkOneDelayedTransitionPerLoop}) and Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({out.update1.local_resume[1].checkOneDelayedTransitionPerLoop}) 2807 : out.update1.local_suspend[1].checkOneDelayedTransitionPerLoop = out.update1.checkOneDelayedTransitionPerLoopIn 2808 : out.update1.local_suspend[2].checkOneDelayedTransitionPerLoop = out.update1.checkOneDelayedTransitionPerLoopIn 2809 : out.update1.local_entry[out.update1.entryIndices[1]].checkOneDelayedTransitionPerLoop = out.update1.checkOneDelayedTransitionPerLoopIn 2810 : out.update1_hour.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update1_hour.inPort[1].fire}) 2811 : out.update1_hour.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update1_hour.outPort[1].fire}) 2812 : out.update1_hour.newActive = if out.update1_hour.connectorNode.node.resume then out.update1_hour.oldActive else out.update1_hour.inport_fire or not out.update1_hour.outport_fire and not out.update1_hour.connectorNode.node.suspend 2813 : true = pre(out.update1_hour.newActive) 2814 : out.update1_hour.outPort[1].available = not out.update1_hour.connectorNode.node.suspend 2815 : out.update1_hour.inPort[1].node.resume = out.update1_hour.connectorNode.node.resume 2816 : out.update1_hour.inPort[1].node.suspend = out.update1_hour.connectorNode.node.suspend 2817 : out.update1_hour.outPort[1].node.resume = out.update1_hour.connectorNode.node.resume 2818 : out.update1_hour.outPort[1].node.suspend = out.update1_hour.connectorNode.node.suspend 2819 : out.update1_hour_c.enableFire = out.update1_hour_c.localCondition and out.update1_hour_c.inPort.available 2820 : out.update1_hour_c.fire = out.update1_hour_c.enableFire 2821 : out.update1_hour_c.inPort.fire = out.update1_hour_c.fire 2822 : out.update1_hour_c.outPort.fire = out.update1_hour_c.fire 2823 : out.update1_hour_c.outPort.node.resume = out.update1_hour_c.inPort.node.resume 2824 : out.update1_hour_c.outPort.node.suspend = out.update1_hour_c.inPort.node.suspend 2825 : out.update1_ten_min.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update1_ten_min.inPort[1].fire}) 2826 : out.update1_ten_min.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update1_ten_min.outPort[1].fire}) 2827 : out.update1_ten_min.newActive = if out.update1_ten_min.connectorNode.node.resume then out.update1_ten_min.oldActive else out.update1_ten_min.inport_fire or not out.update1_ten_min.outport_fire and not out.update1_ten_min.connectorNode.node.suspend 2828 : true = pre(out.update1_ten_min.newActive) 2829 : out.update1_ten_min.outPort[1].available = not out.update1_ten_min.connectorNode.node.suspend 2830 : out.update1_ten_min.inPort[1].node.resume = out.update1_ten_min.connectorNode.node.resume 2831 : out.update1_ten_min.inPort[1].node.suspend = out.update1_ten_min.connectorNode.node.suspend 2832 : out.update1_ten_min.outPort[1].node.resume = out.update1_ten_min.connectorNode.node.resume 2833 : out.update1_ten_min.outPort[1].node.suspend = out.update1_ten_min.connectorNode.node.suspend 2834 : out.update1_ten_min_c.enableFire = out.update1_ten_min_c.localCondition and out.update1_ten_min_c.inPort.available 2835 : out.update1_ten_min_c.fire = out.update1_ten_min_c.enableFire 2836 : out.update1_ten_min_c.inPort.fire = out.update1_ten_min_c.fire 2837 : out.update1_ten_min_c.outPort.fire = out.update1_ten_min_c.fire 2838 : out.update1_ten_min_c.outPort.node.resume = out.update1_ten_min_c.inPort.node.resume 2839 : out.update1_ten_min_c.outPort.node.suspend = out.update1_ten_min_c.inPort.node.suspend 2840 : out.update1_min.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update1_min.inPort[1].fire}) 2841 : out.update1_min.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update1_min.outPort[1].fire}) 2842 : out.update1_min.newActive = if out.update1_min.connectorNode.node.resume then out.update1_min.oldActive else out.update1_min.inport_fire or out.local_outPort[1].checkOneDelayedTransitionPerLoop and not out.update1_min.outport_fire and not out.update1_min.connectorNode.node.suspend 2843 : out.local_outPort[1].checkOneDelayedTransitionPerLoop = pre(out.update1_min.newActive) 2844 : out.update1_min.outPort[1].available = out.local_outPort[1].checkOneDelayedTransitionPerLoop and not out.update1_min.connectorNode.node.suspend 2845 : out.update1_min.inPort[1].node.resume = out.update1_min.connectorNode.node.resume 2846 : out.update1_min.inPort[1].node.suspend = out.update1_min.connectorNode.node.suspend 2847 : out.update1_min.outPort[1].node.resume = out.update1_min.connectorNode.node.resume 2848 : out.update1_min.outPort[1].node.suspend = out.update1_min.connectorNode.node.suspend 2849 : out.update1_d.enableFire = out.update1_d.localCondition and out.update1_d.inPort.available 2850 : 0 = out.update1_d.enableFire 2851 : out.update1_d.outPort.checkOneDelayedTransitionPerLoop = true 2852 : out.update1_d.outPort.node.resume = out.update1_d.inPort.node.resume 2853 : out.update1_d.outPort.node.suspend = out.update1_d.inPort.node.suspend 2854 : out.update1_b.enableFire = out.update1_b.localCondition and out.update1_b.inPort.available 2855 : out.update1_b.fire = out.update1_b.enableFire 2856 : out.update1_b.outPort.checkOneDelayedTransitionPerLoop = true 2857 : out.update1_b.inPort.fire = out.update1_b.fire 2858 : out.update1_b.outPort.fire = out.update1_b.fire 2859 : out.update1_b.outPort.node.resume = out.update1_b.inPort.node.resume 2860 : out.update1_b.outPort.node.suspend = out.update1_b.inPort.node.suspend 2861 : out.update1_c.enableFire = out.update1_c.localCondition and out.update1_c.inPort.available 2862 : out.update1_c.fire = out.update1_c.enableFire 2863 : out.update1_c.outPort.checkOneDelayedTransitionPerLoop = true 2864 : out.update1_c.inPort.fire = out.update1_c.fire 2865 : out.update1_c.outPort.fire = out.update1_c.fire 2866 : out.update1_c.outPort.node.resume = out.update1_c.inPort.node.resume 2867 : out.update1_c.outPort.node.suspend = out.update1_c.inPort.node.suspend 2868 : out.alarm2.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 2869 : out.alarm2.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 2870 : out.alarm2.suspend_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm2.local_resume[1].node.suspend, out.alarm2.local_resume[2].node.suspend, out.alarm2.local_resume[3].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm2.local_suspend[1].fire, out.alarm2.local_suspend[2].fire}) 2871 : out.alarm2.resume_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm2.local_resume[1].node.resume, out.alarm2.local_resume[2].node.resume, out.alarm2.local_resume[3].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm2.local_resume[1].fire, out.alarm2.local_resume[2].fire, out.alarm2.local_resume[3].fire}) 2872 : out.alarm2.entry_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm2.local_entry[1].fire}) 2873 : out.alarm2.active = pre(out.alarm2.newActive) 2874 : out.alarm2.newActive = if Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) then out.alarm2.oldActive else out.alarm2.inport_fire or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm2.local_resume[1].fire, out.alarm2.local_resume[2].fire, out.alarm2.local_resume[3].fire}) or out.alarm2.active and not out.alarm2.outport_fire and not out.alarm2.suspend_fire 2875 : out.alarm2.entry_restart[out.alarm2.entryIndices[1]] = true 2876 : out.alarm2.firstActive = if out.alarm2.active and not out.alarm2.suspend_fire and pre(out.alarm2.firstActive) <= 1 then pre(out.alarm2.firstActive) + 1 else if out.alarm2.resume_fire and out.alarm2.finished then 0 else pre(out.alarm2.firstActive) 2877 : out.alarm2.local_entry[1].fire = if out.alarm2.firstActive == 1 then out.alarm2.entry_restart[1] else out.alarm2.inport_fire 2878 : out.alarm2.local_suspend[1].available = out.alarm2.active and not pre(out.alarm2.suspend_fire) 2879 : out.alarm2.local_suspend[2].available = out.alarm2.local_suspend[1].available and not out.alarm2.local_suspend[1].fire 2880 : out.alarm2.entryIndices[1] = 1 2881 : out.alarm2.local_entry[1].node.suspend = out.alarm2.active and out.alarm2.suspend_fire or not out.alarm2.active and pre(out.alarm2.active) 2882 : out.alarm2.local_entry[1].node.resume = out.alarm2.resume_fire and not out.alarm2.entry_fire 2883 : out.alarm2.local_resume[1].node.resume = out.alarm2.connectorNode.node.resume 2884 : out.alarm2.local_resume[1].node.suspend = out.alarm2.connectorNode.node.suspend 2885 : out.alarm2.local_resume[2].node.resume = out.alarm2.connectorNode.node.resume 2886 : out.alarm2.local_resume[2].node.suspend = out.alarm2.connectorNode.node.suspend 2887 : out.alarm2.local_resume[3].node.resume = out.alarm2.connectorNode.node.resume 2888 : out.alarm2.local_resume[3].node.suspend = out.alarm2.connectorNode.node.suspend 2889 : out.alarm2.local_suspend[1].node.resume = out.alarm2.connectorNode.node.resume 2890 : out.alarm2.local_suspend[1].node.suspend = out.alarm2.connectorNode.node.suspend 2891 : out.alarm2.local_suspend[2].node.resume = out.alarm2.connectorNode.node.resume 2892 : out.alarm2.local_suspend[2].node.suspend = out.alarm2.connectorNode.node.suspend 2893 : out.alarm2_off.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm2_off.inPort[1].fire, out.alarm2_off.inPort[2].fire}) 2894 : out.alarm2_off.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm2_off.outPort[1].fire}) 2895 : out.alarm2_off.newActive = if out.alarm2_off.connectorNode.node.resume then out.alarm2_off.oldActive else out.alarm2_off.inport_fire or 0.0 and not out.alarm2_off.outport_fire and not out.alarm2_off.connectorNode.node.suspend 2896 : 0.0 = pre(out.alarm2_off.newActive) 2897 : out.alarm2_off.outPort[1].available = 0.0 and not out.alarm2_off.connectorNode.node.suspend 2898 : out.alarm2_off.inPort[1].node.resume = out.alarm2_off.connectorNode.node.resume 2899 : out.alarm2_off.inPort[1].node.suspend = out.alarm2_off.connectorNode.node.suspend 2900 : out.alarm2_off.inPort[2].node.resume = out.alarm2_off.connectorNode.node.resume 2901 : out.alarm2_off.inPort[2].node.suspend = out.alarm2_off.connectorNode.node.suspend 2902 : out.alarm2_off.outPort[1].node.resume = out.alarm2_off.connectorNode.node.resume 2903 : out.alarm2_off.outPort[1].node.suspend = out.alarm2_off.connectorNode.node.suspend 2904 : out.alarm2_off_d.enableFire = out.alarm2_off_d.localCondition and out.alarm2_off_d.inPort.available 2905 : out.alarm2_off_d.fire = out.alarm2_off_d.enableFire 2906 : out.alarm2_off_d.inPort.fire = out.alarm2_off_d.fire 2907 : out.alarm2_off_d.outPort.fire = out.alarm2_off_d.fire 2908 : out.alarm2_off_d.outPort.node.resume = out.alarm2_off_d.inPort.node.resume 2909 : out.alarm2_off_d.outPort.node.suspend = out.alarm2_off_d.inPort.node.suspend 2910 : out.alarm2_on.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm2_on.inPort[1].fire}) 2911 : out.alarm2_on.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.alarm2_on.outPort[1].fire}) 2912 : out.alarm2_on.newActive = if out.alarm2_on.connectorNode.node.resume then out.alarm2_on.oldActive else out.alarm2_on.inport_fire or 0.0 and not out.alarm2_on.outport_fire and not out.alarm2_on.connectorNode.node.suspend 2913 : 0.0 = pre(out.alarm2_on.newActive) 2914 : out.alarm2_on.outPort[1].available = 0.0 and not out.alarm2_on.connectorNode.node.suspend 2915 : out.alarm2_on.inPort[1].node.resume = out.alarm2_on.connectorNode.node.resume 2916 : out.alarm2_on.inPort[1].node.suspend = out.alarm2_on.connectorNode.node.suspend 2917 : out.alarm2_on.outPort[1].node.resume = out.alarm2_on.connectorNode.node.resume 2918 : out.alarm2_on.outPort[1].node.suspend = out.alarm2_on.connectorNode.node.suspend 2919 : out.alarm2_on_d.enableFire = out.alarm2_on_d.localCondition and out.alarm2_on_d.inPort.available 2920 : out.alarm2_on_d.fire = out.alarm2_on_d.enableFire 2921 : out.alarm2_on_d.inPort.fire = out.alarm2_on_d.fire 2922 : out.alarm2_on_d.outPort.fire = out.alarm2_on_d.fire 2923 : out.alarm2_on_d.outPort.node.resume = out.alarm2_on_d.inPort.node.resume 2924 : out.alarm2_on_d.outPort.node.suspend = out.alarm2_on_d.inPort.node.suspend 2925 : out.update2.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update2.local_inPort[1].fire}) 2926 : out.update2.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update2.local_outPort[1].fire}) 2927 : out.update2.suspend_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update2.local_inPort[1].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update2.local_resume[1].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({true, out.update2.local_suspend[2].fire}) 2928 : out.update2.resume_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update2.local_inPort[1].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update2.local_resume[1].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({true}) 2929 : out.update2.entry_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update2.local_entry[1].fire}) 2930 : out.update2.active = pre(out.update2.newActive) 2931 : out.update2.newActive = if Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update2.local_inPort[1].node.resume}) then out.update2.oldActive else out.update2.inport_fire or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({true}) or out.update2.active and not out.update2.outport_fire and not out.update2.suspend_fire 2932 : out.update2.entry_restart[out.update2.entryIndices[1]] = true 2933 : out.update2.firstActive = if out.update2.active and not out.update2.suspend_fire and pre(out.update2.firstActive) <= 1 then pre(out.update2.firstActive) + 1 else if out.update2.resume_fire and out.update2.finished then 0 else pre(out.update2.firstActive) 2934 : out.update2.local_entry[1].fire = if out.update2.firstActive == 1 then out.update2.entry_restart[1] else out.update2.inport_fire 2935 : out.update2.local_exit[1].fire = out.update2.outport_fire 2936 : out.update2.local_outPort[1].available = out.update2.active and Modelica_StateGraph2.Blocks.BooleanFunctions.allTrue({out.update2.local_exit[1].available}) 2937 : out.update2.local_suspend[1].available = out.update2.active and not pre(out.update2.suspend_fire) 2938 : out.update2.local_suspend[2].available = false 2939 : out.update2.entryIndices[1] = 1 2940 : out.update2.local_entry[1].node.suspend = out.update2.active and out.update2.suspend_fire or not out.update2.active and pre(out.update2.active) 2941 : out.update2.local_entry[1].node.resume = out.update2.resume_fire and not out.update2.entry_fire 2942 : out.update2.local_inPort[1].node.resume = out.update2.connectorNode.node.resume 2943 : out.update2.local_inPort[1].node.suspend = out.update2.connectorNode.node.suspend 2944 : out.update2.local_resume[1].node.resume = out.update2.connectorNode.node.resume 2945 : out.update2.local_resume[1].node.suspend = out.update2.connectorNode.node.suspend 2946 : out.update2.local_outPort[1].node.resume = out.update2.connectorNode.node.resume 2947 : out.update2.local_outPort[1].node.suspend = out.update2.connectorNode.node.suspend 2948 : out.update2.local_suspend[1].node.resume = out.update2.connectorNode.node.resume 2949 : out.update2.local_suspend[1].node.suspend = out.update2.connectorNode.node.suspend 2950 : out.update2.local_suspend[2].node.resume = out.update2.connectorNode.node.resume 2951 : out.update2.local_suspend[2].node.suspend = out.update2.connectorNode.node.suspend 2952 : out.update2.checkOneDelayedTransitionPerLoopIn = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({out.update2.local_inPort[1].checkOneDelayedTransitionPerLoop}) and Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({out.update2.local_resume[1].checkOneDelayedTransitionPerLoop}) 2953 : out.update2.local_suspend[1].checkOneDelayedTransitionPerLoop = out.update2.checkOneDelayedTransitionPerLoopIn 2954 : out.update2.local_suspend[2].checkOneDelayedTransitionPerLoop = out.update2.checkOneDelayedTransitionPerLoopIn 2955 : out.update2.local_entry[out.update2.entryIndices[1]].checkOneDelayedTransitionPerLoop = out.update2.checkOneDelayedTransitionPerLoopIn 2956 : out.update2_hour.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update2_hour.inPort[1].fire}) 2957 : out.update2_hour.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update2_hour.outPort[1].fire}) 2958 : out.update2_hour.newActive = if out.update2_hour.connectorNode.node.resume then out.update2_hour.oldActive else out.update2_hour.inport_fire or not out.update2_hour.outport_fire and not out.update2_hour.connectorNode.node.suspend 2959 : true = pre(out.update2_hour.newActive) 2960 : out.update2_hour.outPort[1].available = not out.update2_hour.connectorNode.node.suspend 2961 : out.update2_hour.inPort[1].node.resume = out.update2_hour.connectorNode.node.resume 2962 : out.update2_hour.inPort[1].node.suspend = out.update2_hour.connectorNode.node.suspend 2963 : out.update2_hour.outPort[1].node.resume = out.update2_hour.connectorNode.node.resume 2964 : out.update2_hour.outPort[1].node.suspend = out.update2_hour.connectorNode.node.suspend 2965 : out.update2_hour_c.enableFire = out.update2_hour_c.localCondition and out.update2_hour_c.inPort.available 2966 : out.update2_hour_c.fire = out.update2_hour_c.enableFire 2967 : out.update2_hour_c.inPort.fire = out.update2_hour_c.fire 2968 : out.update2_hour_c.outPort.fire = out.update2_hour_c.fire 2969 : out.update2_hour_c.outPort.node.resume = out.update2_hour_c.inPort.node.resume 2970 : out.update2_hour_c.outPort.node.suspend = out.update2_hour_c.inPort.node.suspend 2971 : out.update2_ten_min.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update2_ten_min.inPort[1].fire}) 2972 : out.update2_ten_min.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update2_ten_min.outPort[1].fire}) 2973 : out.update2_ten_min.newActive = if out.update2_ten_min.connectorNode.node.resume then out.update2_ten_min.oldActive else out.update2_ten_min.inport_fire or not out.update2_ten_min.outport_fire and not out.update2_ten_min.connectorNode.node.suspend 2974 : true = pre(out.update2_ten_min.newActive) 2975 : out.update2_ten_min.outPort[1].available = not out.update2_ten_min.connectorNode.node.suspend 2976 : out.update2_ten_min.inPort[1].node.resume = out.update2_ten_min.connectorNode.node.resume 2977 : out.update2_ten_min.inPort[1].node.suspend = out.update2_ten_min.connectorNode.node.suspend 2978 : out.update2_ten_min.outPort[1].node.resume = out.update2_ten_min.connectorNode.node.resume 2979 : out.update2_ten_min.outPort[1].node.suspend = out.update2_ten_min.connectorNode.node.suspend 2980 : out.update2_ten_min_c.enableFire = out.update2_ten_min_c.localCondition and out.update2_ten_min_c.inPort.available 2981 : out.update2_ten_min_c.fire = out.update2_ten_min_c.enableFire 2982 : out.update2_ten_min_c.inPort.fire = out.update2_ten_min_c.fire 2983 : out.update2_ten_min_c.outPort.fire = out.update2_ten_min_c.fire 2984 : out.update2_ten_min_c.outPort.node.resume = out.update2_ten_min_c.inPort.node.resume 2985 : out.update2_ten_min_c.outPort.node.suspend = out.update2_ten_min_c.inPort.node.suspend 2986 : out.update2_min.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update2_min.inPort[1].fire}) 2987 : out.update2_min.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.update2_min.outPort[1].fire}) 2988 : out.update2_min.newActive = if out.update2_min.connectorNode.node.resume then out.update2_min.oldActive else out.update2_min.inport_fire or not out.update2_min.outport_fire and not out.update2_min.connectorNode.node.suspend 2989 : true = pre(out.update2_min.newActive) 2990 : out.update2_min.outPort[1].available = not out.update2_min.connectorNode.node.suspend 2991 : out.update2_min.inPort[1].node.resume = out.update2_min.connectorNode.node.resume 2992 : out.update2_min.inPort[1].node.suspend = out.update2_min.connectorNode.node.suspend 2993 : out.update2_min.outPort[1].node.resume = out.update2_min.connectorNode.node.resume 2994 : out.update2_min.outPort[1].node.suspend = out.update2_min.connectorNode.node.suspend 2995 : out.update2_d.enableFire = out.update2_d.localCondition and out.update2_d.inPort.available 2996 : true = out.update2_d.enableFire 2997 : out.update2_d.outPort.checkOneDelayedTransitionPerLoop = true 2998 : out.update2_d.outPort.node.resume = out.update2_d.inPort.node.resume 2999 : out.update2_d.outPort.node.suspend = out.update2_d.inPort.node.suspend 3000 : out.update2_b.enableFire = out.update2_b.localCondition and out.update2_b.inPort.available 3001 : out.update2_b.fire = out.update2_b.enableFire 3002 : out.update2_b.inPort.fire = out.update2_b.fire 3003 : out.update2_b.outPort.fire = out.update2_b.fire 3004 : out.update2_b.outPort.node.resume = out.update2_b.inPort.node.resume 3005 : out.update2_b.outPort.node.suspend = out.update2_b.inPort.node.suspend 3006 : out.update2_c.enableFire = out.update2_c.localCondition and out.update2_c.inPort.available 3007 : out.update2_c.fire = out.update2_c.enableFire 3008 : out.update2_c.inPort.fire = out.update2_c.fire 3009 : out.update2_c.outPort.fire = out.update2_c.fire 3010 : out.update2_c.outPort.node.resume = out.update2_c.inPort.node.resume 3011 : out.update2_c.outPort.node.suspend = out.update2_c.inPort.node.suspend 3012 : out.alarm1_c.enableFire = out.alarm1_c.localCondition and out.alarm1_c.inPort.available 3013 : out.alarm1_c.fire = out.alarm1_c.enableFire 3014 : out.alarm1_c.outPort.checkOneDelayedTransitionPerLoop = out.alarm1_c.inPort.checkOneDelayedTransitionPerLoop 3015 : out.alarm1_c.inPort.fire = out.alarm1_c.fire 3016 : out.alarm1_c.outPort.fire = out.alarm1_c.fire 3017 : out.alarm1_c.outPort.node.resume = out.alarm1_c.inPort.node.resume 3018 : out.alarm1_c.outPort.node.suspend = out.alarm1_c.inPort.node.suspend 3019 : out.alarm1_a.enableFire = out.alarm1_a.localCondition and out.alarm1_a.inPort.available 3020 : out.alarm1_a.fire = out.alarm1_a.enableFire 3021 : out.alarm1_a.inPort.fire = out.alarm1_a.fire 3022 : out.alarm1_a.outPort.fire = out.alarm1_a.fire 3023 : out.alarm1_a.outPort.node.resume = out.alarm1_a.inPort.node.resume 3024 : out.alarm1_a.outPort.node.suspend = out.alarm1_a.inPort.node.suspend 3025 : out.alarm2_a.enableFire = out.alarm2_a.localCondition and out.alarm2_a.inPort.available 3026 : out.alarm2_a.fire = out.alarm2_a.enableFire 3027 : out.alarm2_a.inPort.fire = out.alarm2_a.fire 3028 : out.alarm2_a.outPort.fire = out.alarm2_a.fire 3029 : out.alarm2_a.outPort.node.resume = out.alarm2_a.inPort.node.resume 3030 : out.alarm2_a.outPort.node.suspend = out.alarm2_a.inPort.node.suspend 3031 : out.alarm2_c.enableFire = out.alarm2_c.localCondition and out.alarm2_c.inPort.available 3032 : out.alarm2_c.fire = out.alarm2_c.enableFire 3033 : out.alarm2_c.outPort.checkOneDelayedTransitionPerLoop = true 3034 : out.alarm2_c.inPort.fire = out.alarm2_c.fire 3035 : out.alarm2_c.outPort.fire = out.alarm2_c.fire 3036 : out.alarm2_c.outPort.node.resume = out.alarm2_c.inPort.node.resume 3037 : out.alarm2_c.outPort.node.suspend = out.alarm2_c.inPort.node.suspend 3038 : out.edge2.y = out.edge2.u and not pre(out.edge2.u) 3039 : out.edge3.y = out.edge3.u and not pre(out.edge3.u) 3040 : out.edge4.y = out.edge4.u and not pre(out.edge4.u) 3041 : out.edge5.y = out.edge5.u and not pre(out.edge5.u) 3042 : out.edge6.y = out.edge6.u and not pre(out.edge6.u) 3043 : out.edge8.y = out.edge8.u and not pre(out.edge8.u) 3044 : out.edge9.y = out.edge9.u and not pre(out.edge9.u) 3045 : out.edge10.y = out.edge10.u and not pre(out.edge10.u) 3046 : out.edge7.y = out.edge7.u and not pre(out.edge7.u) 3047 : out.edge1.y = out.edge1.u and not pre(out.edge1.u) 3048 : out.quantity2.expr[1] = 3600 3049 : out.quantity2.expr[2] = 600 3050 : out.quantity2.expr[3] = 60 3051 : out.quantity2.firstActiveIndex = Modelica_StateGraph2.Blocks.BooleanFunctions.firstTrueIndex(out.quantity2.u) 3052 : out.quantity2.y = if out.quantity2.firstActiveIndex > 0 then out.quantity2.expr[out.quantity2.firstActiveIndex] else if out.quantity2.use_pre_as_default then pre(out.quantity2.y) else out.quantity2.y_default 3053 : out.alarm2_setting.local_reset = false 3054 : out.alarm2_setting.local_set = 0 3055 : out.quantity1.expr[1] = 3600 3056 : out.quantity1.expr[2] = 600 3057 : out.quantity1.expr[3] = 60 3058 : out.quantity1.firstActiveIndex = Modelica_StateGraph2.Blocks.BooleanFunctions.firstTrueIndex(out.quantity1.u) 3059 : out.quantity1.y = if out.quantity1.firstActiveIndex > 0 then out.quantity1.expr[out.quantity1.firstActiveIndex] else if out.quantity1.use_pre_as_default then pre(out.quantity1.y) else out.quantity1.y_default 3060 : out.alarm1_setting.local_reset = false 3061 : out.alarm1_setting.local_set = 0 3062 : out.or1.y = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(out.or1.u) 3063 : out.or2.y = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(out.or2.u) 3064 : out.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.local_inPort[1].fire}) 3065 : out.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.local_outPort[1].fire}) 3066 : out.suspend_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.local_inPort[1].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.local_suspend[1].fire}) 3067 : out.resume_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.local_inPort[1].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 3068 : out.entry_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.local_entry[1].fire}) 3069 : out.active = pre(out.newActive) 3070 : out.newActive = if Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({out.local_inPort[1].node.resume}) then out.oldActive else out.inport_fire or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or out.active and not out.outport_fire and not out.suspend_fire 3071 : out.entry_restart[out.entryIndices[1]] = true 3072 : out.local_entry[1].fire = out.inport_fire 3073 : out.local_exit[1].fire = out.outport_fire 3074 : out.local_outPort[1].available = out.active and Modelica_StateGraph2.Blocks.BooleanFunctions.allTrue({out.local_exit[1].available}) 3075 : out.local_suspend[1].available = out.active and not pre(out.suspend_fire) 3076 : out.entryIndices[1] = 1 3077 : out.local_entry[1].node.suspend = out.active and out.suspend_fire or not out.active and pre(out.active) 3078 : out.local_entry[1].node.resume = out.resume_fire and not out.entry_fire 3079 : out.local_inPort[1].node.resume = out.connectorNode.node.resume 3080 : out.local_inPort[1].node.suspend = out.connectorNode.node.suspend 3081 : out.local_outPort[1].node.resume = out.connectorNode.node.resume 3082 : out.local_outPort[1].node.suspend = out.connectorNode.node.suspend 3083 : out.local_suspend[1].node.resume = out.connectorNode.node.resume 3084 : out.local_suspend[1].node.suspend = out.connectorNode.node.suspend 3085 : out.checkOneDelayedTransitionPerLoopIn = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({out.local_inPort[1].checkOneDelayedTransitionPerLoop}) and Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({}) 3086 : out.local_suspend[1].checkOneDelayedTransitionPerLoop = out.checkOneDelayedTransitionPerLoopIn 3087 : out.local_entry[out.entryIndices[1]].checkOneDelayedTransitionPerLoop = out.checkOneDelayedTransitionPerLoopIn 3088 : stopwatch.displayrun.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.displayrun.local_inPort[1].fire}) 3089 : stopwatch.displayrun.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.displayrun.local_outPort[1].fire}) 3090 : stopwatch.displayrun.suspend_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.displayrun.local_inPort[1].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 3091 : stopwatch.displayrun.resume_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.displayrun.local_inPort[1].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 3092 : stopwatch.displayrun.entry_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.displayrun.local_entry[1].fire, stopwatch.displayrun.local_entry[2].fire}) 3093 : stopwatch.displayrun.active = pre(stopwatch.displayrun.newActive) 3094 : stopwatch.displayrun.newActive = if Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.displayrun.local_inPort[1].node.resume}) then stopwatch.displayrun.oldActive else stopwatch.displayrun.inport_fire or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or stopwatch.displayrun.active and not stopwatch.displayrun.outport_fire and not stopwatch.displayrun.suspend_fire 3095 : stopwatch.displayrun.entry_restart[stopwatch.displayrun.entryIndices[1]] = true 3096 : stopwatch.displayrun.entry_restart[stopwatch.displayrun.entryIndices[2]] = true 3097 : stopwatch.displayrun.local_entry[1].fire = stopwatch.displayrun.inport_fire 3098 : stopwatch.displayrun.local_entry[2].fire = stopwatch.displayrun.inport_fire 3099 : stopwatch.displayrun.local_exit[1].fire = stopwatch.displayrun.outport_fire 3100 : stopwatch.displayrun.local_exit[2].fire = stopwatch.displayrun.outport_fire 3101 : stopwatch.displayrun.local_outPort[1].available = stopwatch.displayrun.active and Modelica_StateGraph2.Blocks.BooleanFunctions.allTrue({stopwatch.displayrun.local_exit[1].available, stopwatch.displayrun.local_exit[2].available}) 3102 : stopwatch.displayrun.entryIndices[1] = 1 3103 : stopwatch.displayrun.entryIndices[2] = 1 3104 : stopwatch.displayrun.local_entry[1].node.suspend = stopwatch.displayrun.active and stopwatch.displayrun.suspend_fire or not stopwatch.displayrun.active and pre(stopwatch.displayrun.active) 3105 : stopwatch.displayrun.local_entry[1].node.resume = stopwatch.displayrun.resume_fire and not stopwatch.displayrun.entry_fire 3106 : stopwatch.displayrun.local_entry[2].node.suspend = stopwatch.displayrun.local_entry[1].node.suspend 3107 : stopwatch.displayrun.local_entry[2].node.resume = stopwatch.displayrun.local_entry[1].node.resume 3108 : stopwatch.displayrun.local_inPort[1].node.resume = stopwatch.displayrun.connectorNode.node.resume 3109 : stopwatch.displayrun.local_inPort[1].node.suspend = stopwatch.displayrun.connectorNode.node.suspend 3110 : stopwatch.displayrun.local_outPort[1].node.resume = stopwatch.displayrun.connectorNode.node.resume 3111 : stopwatch.displayrun.local_outPort[1].node.suspend = stopwatch.displayrun.connectorNode.node.suspend 3112 : stopwatch.displayrun.checkOneDelayedTransitionPerLoopIn = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({stopwatch.displayrun.local_inPort[1].checkOneDelayedTransitionPerLoop}) and Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({}) 3113 : stopwatch.displayrun.local_entry[stopwatch.displayrun.entryIndices[1]].checkOneDelayedTransitionPerLoop = stopwatch.displayrun.checkOneDelayedTransitionPerLoopIn 3114 : stopwatch.displayrun.local_entry[stopwatch.displayrun.entryIndices[2]].checkOneDelayedTransitionPerLoop = stopwatch.displayrun.local_exit[1].checkOneDelayedTransitionPerLoop 3115 : stopwatch.reg.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.reg.inPort[1].fire, stopwatch.reg.inPort[2].fire}) 3116 : stopwatch.reg.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.reg.outPort[1].fire, stopwatch.reg.outPort[2].fire}) 3117 : stopwatch.reg.newActive = if stopwatch.reg.connectorNode.node.resume then stopwatch.reg.oldActive else stopwatch.reg.inport_fire or not stopwatch.reg.outport_fire and not stopwatch.reg.connectorNode.node.suspend 3118 : true = pre(stopwatch.reg.newActive) 3119 : stopwatch.reg.outPort[1].available = not stopwatch.reg.connectorNode.node.suspend 3120 : stopwatch.reg.outPort[2].available = stopwatch.reg.outPort[1].available and not stopwatch.reg.outPort[1].fire and not stopwatch.reg.connectorNode.node.suspend 3121 : stopwatch.reg.outPort[1].checkOneDelayedTransitionPerLoop = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({stopwatch.reg.inPort[1].checkOneDelayedTransitionPerLoop, stopwatch.reg.inPort[2].checkOneDelayedTransitionPerLoop}) 3122 : stopwatch.reg.outPort[2].checkOneDelayedTransitionPerLoop = stopwatch.reg.outPort[1].checkOneDelayedTransitionPerLoop 3123 : stopwatch.reg.inPort[1].node.resume = stopwatch.reg.connectorNode.node.resume 3124 : stopwatch.reg.inPort[1].node.suspend = stopwatch.reg.connectorNode.node.suspend 3125 : stopwatch.reg.inPort[2].node.resume = stopwatch.reg.connectorNode.node.resume 3126 : stopwatch.reg.inPort[2].node.suspend = stopwatch.reg.connectorNode.node.suspend 3127 : stopwatch.reg.outPort[1].node.resume = stopwatch.reg.connectorNode.node.resume 3128 : stopwatch.reg.outPort[1].node.suspend = stopwatch.reg.connectorNode.node.suspend 3129 : stopwatch.reg.outPort[2].node.resume = stopwatch.reg.connectorNode.node.resume 3130 : stopwatch.reg.outPort[2].node.suspend = stopwatch.reg.connectorNode.node.suspend 3131 : stopwatch.lap.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.lap.inPort[1].fire}) 3132 : stopwatch.lap.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.lap.outPort[1].fire}) 3133 : stopwatch.lap.newActive = if stopwatch.lap.connectorNode.node.resume then stopwatch.lap.oldActive else stopwatch.lap.inport_fire or not stopwatch.lap.outport_fire and not stopwatch.lap.connectorNode.node.suspend 3134 : true = pre(stopwatch.lap.newActive) 3135 : stopwatch.lap.outPort[1].available = not stopwatch.lap.connectorNode.node.suspend 3136 : stopwatch.lap.inPort[1].node.resume = stopwatch.lap.connectorNode.node.resume 3137 : stopwatch.lap.inPort[1].node.suspend = stopwatch.lap.connectorNode.node.suspend 3138 : stopwatch.lap.outPort[1].node.resume = stopwatch.lap.connectorNode.node.resume 3139 : stopwatch.lap.outPort[1].node.suspend = stopwatch.lap.connectorNode.node.suspend 3140 : stopwatch.on.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.on.inPort[1].fire, stopwatch.on.inPort[2].fire}) 3141 : stopwatch.on.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.on.outPort[1].fire}) 3142 : stopwatch.on.newActive = if stopwatch.on.connectorNode.node.resume then stopwatch.on.oldActive else stopwatch.on.inport_fire or not stopwatch.on.outport_fire and not stopwatch.on.connectorNode.node.suspend 3143 : true = pre(stopwatch.on.newActive) 3144 : stopwatch.on.outPort[1].available = not stopwatch.on.connectorNode.node.suspend 3145 : stopwatch.on.outPort[1].checkOneDelayedTransitionPerLoop = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({stopwatch.on.inPort[1].checkOneDelayedTransitionPerLoop, stopwatch.on.inPort[2].checkOneDelayedTransitionPerLoop}) 3146 : stopwatch.on.inPort[1].node.resume = stopwatch.on.connectorNode.node.resume 3147 : stopwatch.on.inPort[1].node.suspend = stopwatch.on.connectorNode.node.suspend 3148 : stopwatch.on.inPort[2].node.resume = stopwatch.on.connectorNode.node.resume 3149 : stopwatch.on.inPort[2].node.suspend = stopwatch.on.connectorNode.node.suspend 3150 : stopwatch.on.outPort[1].node.resume = stopwatch.on.connectorNode.node.resume 3151 : stopwatch.on.outPort[1].node.suspend = stopwatch.on.connectorNode.node.suspend 3152 : stopwatch.off.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.off.inPort[1].fire}) 3153 : stopwatch.off.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.off.outPort[1].fire, stopwatch.off.outPort[2].fire}) 3154 : stopwatch.off.newActive = if stopwatch.off.connectorNode.node.resume then stopwatch.off.oldActive else stopwatch.off.inport_fire or stopwatch.off.active and not stopwatch.off.outport_fire and not stopwatch.off.connectorNode.node.suspend 3155 : stopwatch.off.active = pre(stopwatch.off.newActive) 3156 : stopwatch.off.outPort[1].available = stopwatch.off.active and not stopwatch.off.connectorNode.node.suspend 3157 : stopwatch.off.outPort[2].available = stopwatch.off.outPort[1].available and not stopwatch.off.outPort[1].fire and not stopwatch.off.connectorNode.node.suspend 3158 : stopwatch.off.inPort[1].node.resume = stopwatch.off.connectorNode.node.resume 3159 : stopwatch.off.inPort[1].node.suspend = stopwatch.off.connectorNode.node.suspend 3160 : stopwatch.off.outPort[1].node.resume = stopwatch.off.connectorNode.node.resume 3161 : stopwatch.off.outPort[1].node.suspend = stopwatch.off.connectorNode.node.suspend 3162 : stopwatch.off.outPort[2].node.resume = stopwatch.off.connectorNode.node.resume 3163 : stopwatch.off.outPort[2].node.suspend = stopwatch.off.connectorNode.node.suspend 3164 : stopwatch.reg_d.enableFire = stopwatch.reg_d.localCondition and stopwatch.reg_d.inPort.available 3165 : stopwatch.reg_d.fire = stopwatch.reg_d.enableFire 3166 : stopwatch.reg_d.outPort.checkOneDelayedTransitionPerLoop = stopwatch.reg_d.inPort.checkOneDelayedTransitionPerLoop 3167 : stopwatch.reg_d.inPort.fire = stopwatch.reg_d.fire 3168 : stopwatch.reg_d.outPort.fire = stopwatch.reg_d.fire 3169 : stopwatch.reg_d.outPort.node.resume = stopwatch.reg_d.inPort.node.resume 3170 : stopwatch.reg_d.outPort.node.suspend = stopwatch.reg_d.inPort.node.suspend 3171 : stopwatch.lap_d.enableFire = stopwatch.lap_d.localCondition and stopwatch.lap_d.inPort.available 3172 : stopwatch.lap_d.fire = stopwatch.lap_d.enableFire 3173 : stopwatch.lap_d.outPort.checkOneDelayedTransitionPerLoop = true 3174 : stopwatch.lap_d.inPort.fire = stopwatch.lap_d.fire 3175 : stopwatch.lap_d.outPort.fire = stopwatch.lap_d.fire 3176 : stopwatch.lap_d.outPort.node.resume = stopwatch.lap_d.inPort.node.resume 3177 : stopwatch.lap_d.outPort.node.suspend = stopwatch.lap_d.inPort.node.suspend 3178 : stopwatch.zero.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.zero.inPort[1].fire, stopwatch.zero.inPort[2].fire}) 3179 : stopwatch.zero.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.zero.outPort[1].fire}) 3180 : stopwatch.zero.newActive = if stopwatch.zero.connectorNode.node.resume then stopwatch.zero.oldActive else stopwatch.zero.inport_fire or not stopwatch.zero.outport_fire and not stopwatch.zero.connectorNode.node.suspend 3181 : true = pre(stopwatch.zero.newActive) 3182 : stopwatch.zero.outPort[1].available = not stopwatch.zero.connectorNode.node.suspend 3183 : stopwatch.zero.outPort[1].checkOneDelayedTransitionPerLoop = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({stopwatch.zero.inPort[1].checkOneDelayedTransitionPerLoop, stopwatch.zero.inPort[2].checkOneDelayedTransitionPerLoop}) 3184 : stopwatch.zero.inPort[1].node.resume = stopwatch.zero.connectorNode.node.resume 3185 : stopwatch.zero.inPort[1].node.suspend = stopwatch.zero.connectorNode.node.suspend 3186 : stopwatch.zero.inPort[2].node.resume = stopwatch.zero.connectorNode.node.resume 3187 : stopwatch.zero.inPort[2].node.suspend = stopwatch.zero.connectorNode.node.suspend 3188 : stopwatch.zero.outPort[1].node.resume = stopwatch.zero.connectorNode.node.resume 3189 : stopwatch.zero.outPort[1].node.suspend = stopwatch.zero.connectorNode.node.suspend 3190 : stopwatch.zero_b.enableFire = stopwatch.zero_b.localCondition and stopwatch.zero_b.inPort.available 3191 : stopwatch.zero_b.fire = stopwatch.zero_b.enableFire 3192 : stopwatch.zero_b.outPort.checkOneDelayedTransitionPerLoop = stopwatch.zero_b.inPort.checkOneDelayedTransitionPerLoop 3193 : stopwatch.zero_b.inPort.fire = stopwatch.zero_b.fire 3194 : stopwatch.zero_b.outPort.fire = stopwatch.zero_b.fire 3195 : stopwatch.zero_b.outPort.node.resume = stopwatch.zero_b.inPort.node.resume 3196 : stopwatch.zero_b.outPort.node.suspend = stopwatch.zero_b.inPort.node.suspend 3197 : stopwatch.on_b.enableFire = stopwatch.on_b.localCondition and stopwatch.on_b.inPort.available 3198 : stopwatch.on_b.fire = stopwatch.on_b.enableFire 3199 : stopwatch.on_b.outPort.checkOneDelayedTransitionPerLoop = stopwatch.on_b.inPort.checkOneDelayedTransitionPerLoop 3200 : stopwatch.on_b.inPort.fire = stopwatch.on_b.fire 3201 : stopwatch.on_b.outPort.fire = stopwatch.on_b.fire 3202 : stopwatch.on_b.outPort.node.resume = stopwatch.on_b.inPort.node.resume 3203 : stopwatch.on_b.outPort.node.suspend = stopwatch.on_b.inPort.node.suspend 3204 : stopwatch.off_b.enableFire = stopwatch.off_b.localCondition and stopwatch.off_b.inPort.available 3205 : stopwatch.off_b.fire = stopwatch.off_b.enableFire 3206 : stopwatch.off_b.outPort.checkOneDelayedTransitionPerLoop = true 3207 : stopwatch.off_b.inPort.fire = stopwatch.off_b.fire 3208 : stopwatch.off_b.outPort.fire = stopwatch.off_b.fire 3209 : stopwatch.off_b.outPort.node.resume = stopwatch.off_b.inPort.node.resume 3210 : stopwatch.off_b.outPort.node.suspend = stopwatch.off_b.inPort.node.suspend 3211 : stopwatch.displayrun_d.enableFire = stopwatch.displayrun_d.localCondition and stopwatch.displayrun_d.inPort.available 3212 : stopwatch.displayrun_d.fire = stopwatch.displayrun_d.enableFire 3213 : stopwatch.displayrun_d.outPort.checkOneDelayedTransitionPerLoop = true 3214 : stopwatch.displayrun_d.inPort.fire = stopwatch.displayrun_d.fire 3215 : stopwatch.displayrun_d.outPort.fire = stopwatch.displayrun_d.fire 3216 : stopwatch.displayrun_d.outPort.node.resume = stopwatch.displayrun_d.inPort.node.resume 3217 : stopwatch.displayrun_d.outPort.node.suspend = stopwatch.displayrun_d.inPort.node.suspend 3218 : stopwatch.edge1.y = stopwatch.edge1.u and not pre(stopwatch.edge1.u) 3219 : stopwatch.edge2.y = stopwatch.edge2.u and not pre(stopwatch.edge2.u) 3220 : stopwatch.stopwatch_clock.local_set = stopwatch.stopwatch_clock.y_start 3221 : stopwatch.time_increment_trigger.y = sample(1, stopwatch.time_increment_trigger.startTime, stopwatch.time_increment_trigger.period) 3222 : stopwatch.increment_time.y = Modelica_StateGraph2.Blocks.BooleanFunctions.allTrue(stopwatch.increment_time.u) 3223 : stopwatch.select_display_of_time_or_lap_time.expr[1] = stopwatch.stopwatch_clock.y 3224 : stopwatch.select_display_of_time_or_lap_time.expr[2] = stopwatch.stopwatch_lap.y 3225 : stopwatch.select_display_of_time_or_lap_time.expr[3] = 0 3226 : stopwatch.select_display_of_time_or_lap_time.firstActiveIndex = Modelica_StateGraph2.Blocks.BooleanFunctions.firstTrueIndex(stopwatch.select_display_of_time_or_lap_time.u) 3227 : stopwatch.select_display_of_time_or_lap_time.y = if stopwatch.select_display_of_time_or_lap_time.firstActiveIndex > 0 then stopwatch.select_display_of_time_or_lap_time.expr[stopwatch.select_display_of_time_or_lap_time.firstActiveIndex] else if stopwatch.select_display_of_time_or_lap_time.use_pre_as_default then pre(stopwatch.select_display_of_time_or_lap_time.y) else stopwatch.select_display_of_time_or_lap_time.y_default 3228 : stopwatch.freeze_time.y = Modelica_StateGraph2.Blocks.BooleanFunctions.allTrue(stopwatch.freeze_time.u) 3229 : stopwatch.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 3230 : stopwatch.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) 3231 : stopwatch.suspend_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.local_resume[1].node.suspend}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.local_suspend[1].fire}) 3232 : stopwatch.resume_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.local_resume[1].node.resume}) or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.local_resume[1].fire}) 3233 : stopwatch.entry_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.local_entry[1].fire}) 3234 : stopwatch.active = pre(stopwatch.newActive) 3235 : stopwatch.newActive = if Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({}) then stopwatch.oldActive else stopwatch.inport_fire or Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({stopwatch.local_resume[1].fire}) or stopwatch.active and not stopwatch.outport_fire and not stopwatch.suspend_fire 3236 : stopwatch.entry_restart[stopwatch.entryIndices[1]] = true 3237 : stopwatch.firstActive = if stopwatch.active and not stopwatch.suspend_fire and pre(stopwatch.firstActive) <= 1 then pre(stopwatch.firstActive) + 1 else if stopwatch.resume_fire and stopwatch.finished then 0 else pre(stopwatch.firstActive) 3238 : stopwatch.local_entry[1].fire = if stopwatch.firstActive == 1 then stopwatch.entry_restart[1] else stopwatch.inport_fire 3239 : stopwatch.local_suspend[1].available = stopwatch.active and not pre(stopwatch.suspend_fire) 3240 : stopwatch.entryIndices[1] = 1 3241 : stopwatch.local_entry[1].node.suspend = stopwatch.active and stopwatch.suspend_fire or not stopwatch.active and pre(stopwatch.active) 3242 : stopwatch.local_entry[1].node.resume = stopwatch.resume_fire and not stopwatch.entry_fire 3243 : stopwatch.local_resume[1].node.resume = stopwatch.connectorNode.node.resume 3244 : stopwatch.local_resume[1].node.suspend = stopwatch.connectorNode.node.suspend 3245 : stopwatch.local_suspend[1].node.resume = stopwatch.connectorNode.node.resume 3246 : stopwatch.local_suspend[1].node.suspend = stopwatch.connectorNode.node.suspend 3247 : stopwatch.checkOneDelayedTransitionPerLoopIn = Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({}) and Modelica_StateGraph2.Internal.Utilities.propagateLoopCheck({stopwatch.local_resume[1].checkOneDelayedTransitionPerLoop}) 3248 : stopwatch.local_suspend[1].checkOneDelayedTransitionPerLoop = stopwatch.checkOneDelayedTransitionPerLoopIn 3249 : stopwatch.local_entry[1].checkOneDelayedTransitionPerLoop = stopwatch.checkOneDelayedTransitionPerLoopIn 3250 : regular_a.enableFire = regular_a.localCondition and regular_a.inPort.available 3251 : regular_a.fire = regular_a.enableFire 3252 : regular_a.outPort.checkOneDelayedTransitionPerLoop = regular_a.inPort.checkOneDelayedTransitionPerLoop 3253 : regular_a.inPort.fire = regular_a.fire 3254 : regular_a.outPort.fire = regular_a.fire 3255 : regular_a.outPort.node.resume = regular_a.inPort.node.resume 3256 : regular_a.outPort.node.suspend = regular_a.inPort.node.suspend 3257 : two_min_in_out.enableFire = false 3258 : two_min_in_out.fire = two_min_in_out.enableFire and time >= two_min_in_out.t_start + two_min_in_out.waitTime 3259 : two_min_in_out.outPort.checkOneDelayedTransitionPerLoop = true 3260 : two_min_in_out.inPort.fire = two_min_in_out.fire 3261 : two_min_in_out.outPort.fire = two_min_in_out.fire 3262 : two_min_in_out.outPort.node.resume = two_min_in_out.inPort.node.resume 3263 : two_min_in_out.outPort.node.suspend = two_min_in_out.inPort.node.suspend 3264 : out_a.enableFire = out_a.localCondition and out_a.inPort.available 3265 : out_a.fire = out_a.enableFire 3266 : out_a.outPort.checkOneDelayedTransitionPerLoop = true 3267 : out_a.inPort.fire = out_a.fire 3268 : out_a.outPort.fire = out_a.fire 3269 : out_a.outPort.node.resume = out_a.inPort.node.resume 3270 : out_a.outPort.node.suspend = out_a.inPort.node.suspend 3271 : stopwatch_a.enableFire = stopwatch_a.localCondition and stopwatch_a.inPort.available 3272 : stopwatch_a.fire = stopwatch_a.enableFire 3273 : stopwatch_a.outPort.checkOneDelayedTransitionPerLoop = stopwatch_a.inPort.checkOneDelayedTransitionPerLoop 3274 : stopwatch_a.inPort.fire = stopwatch_a.fire 3275 : stopwatch_a.outPort.fire = stopwatch_a.fire 3276 : stopwatch_a.outPort.node.resume = stopwatch_a.inPort.node.resume 3277 : stopwatch_a.outPort.node.suspend = stopwatch_a.inPort.node.suspend 3278 : C.y = pre(C.buttonState) 3279 : D.y = pre(D.buttonState) 3280 : B.y = pre(B.buttonState) 3281 : A.y = pre(A.buttonState) 3282 : battery_inserted.enableFire = battery_inserted.localCondition and battery_inserted.inPort.available 3283 : battery_inserted.fire = battery_inserted.enableFire 3284 : battery_inserted.outPort.checkOneDelayedTransitionPerLoop = true 3285 : battery_inserted.inPort.fire = battery_inserted.fire 3286 : battery_inserted.outPort.fire = battery_inserted.fire 3287 : battery_inserted.outPort.node.resume = battery_inserted.inPort.node.resume 3288 : battery_inserted.outPort.node.suspend = battery_inserted.inPort.node.suspend 3289 : t_hits_t1.enableFire = t_hits_t1.localCondition and t_hits_t1.inPort.available 3290 : t_hits_t1.fire = t_hits_t1.enableFire 3291 : t_hits_t1.inPort.fire = t_hits_t1.fire 3292 : t_hits_t1.outPort.fire = t_hits_t1.fire 3293 : t_hits_t1.outPort.node.resume = t_hits_t1.inPort.node.resume 3294 : t_hits_t1.outPort.node.suspend = t_hits_t1.inPort.node.suspend 3295 : and1.y = and1.u1 3296 : and2.y = and2.u2 3297 : regular_time_c.enableFire = regular_time_c.localCondition and regular_time_c.inPort.available 3298 : regular_time_c.fire = regular_time_c.enableFire 3299 : regular_time_c.outPort.checkOneDelayedTransitionPerLoop = regular_time_c.inPort.checkOneDelayedTransitionPerLoop 3300 : regular_time_c.inPort.fire = regular_time_c.fire 3301 : regular_time_c.outPort.fire = regular_time_c.fire 3302 : regular_time_c.outPort.node.resume = regular_time_c.inPort.node.resume 3303 : regular_time_c.outPort.node.suspend = regular_time_c.inPort.node.suspend 3304 : wait.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({wait.inPort[1].fire}) 3305 : wait.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue({wait.outPort[1].fire, wait.outPort[2].fire}) 3306 : wait.newActive = if wait.connectorNode.node.resume then wait.oldActive else wait.inport_fire or wait.active and not wait.outport_fire and not wait.connectorNode.node.suspend 3307 : wait.active = pre(wait.newActive) 3308 : wait.outPort[1].available = wait.active and not wait.connectorNode.node.suspend 3309 : wait.outPort[2].available = wait.outPort[1].available and not wait.outPort[1].fire and not wait.connectorNode.node.suspend 3310 : wait.inPort[1].node.resume = wait.connectorNode.node.resume 3311 : wait.inPort[1].node.suspend = wait.connectorNode.node.suspend 3312 : wait.outPort[1].node.resume = wait.connectorNode.node.resume 3313 : wait.outPort[1].node.suspend = wait.connectorNode.node.suspend 3314 : wait.outPort[2].node.resume = wait.connectorNode.node.resume 3315 : wait.outPort[2].node.suspend = wait.connectorNode.node.suspend 3316 : two_sec_in_wait.enableFire = false 3317 : two_sec_in_wait.fire = two_sec_in_wait.enableFire and time >= two_sec_in_wait.t_start + two_sec_in_wait.waitTime 3318 : two_sec_in_wait.outPort.checkOneDelayedTransitionPerLoop = true 3319 : two_sec_in_wait.inPort.fire = two_sec_in_wait.fire 3320 : two_sec_in_wait.outPort.fire = two_sec_in_wait.fire 3321 : two_sec_in_wait.outPort.node.resume = two_sec_in_wait.inPort.node.resume 3322 : two_sec_in_wait.outPort.node.suspend = two_sec_in_wait.inPort.node.suspend 3323 : wait_c_up_1.enableFire = wait_c_up_1.localCondition and wait_c_up_1.inPort.available 3324 : wait_c_up_1.fire = wait_c_up_1.enableFire and time >= wait_c_up_1.t_start + wait_c_up_1.waitTime 3325 : wait_c_up_1.outPort.checkOneDelayedTransitionPerLoop = true 3326 : wait_c_up_1.inPort.fire = wait_c_up_1.fire 3327 : wait_c_up_1.outPort.fire = wait_c_up_1.fire 3328 : wait_c_up_1.outPort.node.resume = wait_c_up_1.inPort.node.resume 3329 : wait_c_up_1.outPort.node.suspend = wait_c_up_1.inPort.node.suspend 3330 : not1.y = not not1.u 3331 : and3.y = and3.u2 3332 : edge1.y = edge1.u and not pre(edge1.u) 3333 : pre1.y = pre(pre1.u) 3334 : edge2.y = edge2.u and not pre(edge2.u) 3335 : edge3.y = edge3.u and not pre(edge3.u) 3336 : not2.y = not not2.u 3337 : edge4.y = edge4.u and not pre(edge4.u) 3338 : and4.y = 0.0 and and4.u2 3339 : and5.y = 0.0 and and5.u2 3340 : and6.y = out.chime_on.inPort[1].checkOneDelayedTransitionPerLoop and and6.u2 3341 : and7.y = and7.u2 3342 : edge5.y = edge5.u and not pre(edge5.u) 3343 : edge6.y = edge6.u and not pre(edge6.u) 3344 : alarmTrigger.or1.y = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(alarmTrigger.or1.u) 3345 : alarmTrigger.and1.y = Modelica_StateGraph2.Blocks.BooleanFunctions.allTrue(alarmTrigger.and1.u) 3346 : alarmTrigger.and3.y = Modelica_StateGraph2.Blocks.BooleanFunctions.allTrue(alarmTrigger.and3.u) 3347 : alarmTrigger.sum.y = alarmTrigger.sum.k[1] * alarmTrigger.sum.u[1] + alarmTrigger.sum.k[2] * alarmTrigger.sum.u[2] 3348 : alarmTrigger.integerToBoolean.y = alarmTrigger.integerToBoolean.u >= alarmTrigger.integerToBoolean.threshold 3349 : alarmTrigger.or2.y = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(alarmTrigger.or2.u) 3350 : alarmTrigger.and2.y = Modelica_StateGraph2.Blocks.BooleanFunctions.allTrue(alarmTrigger.and2.u) 3351 : alarmTrigger.not3.y = not alarmTrigger.not3.u 3352 : alarmTrigger.or3.y = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(alarmTrigger.or3.u) 3353 : edge7.y = edge7.u and not pre(edge7.u) 3354 : watchController.Time.time_scale.y = watchController.Time.time_scale.k 3355 : watchController.Time.time_update_frequency.y = sample(2, watchController.Time.time_update_frequency.startTime, watchController.Time.time_update_frequency.period) 3356 : watchController.Time.time_to_display.expr[1] = watchController.Time.clock_time 3357 : watchController.Time.time_to_display.expr[2] = watchController.Time.update_time 3358 : watchController.Time.time_to_display.expr[3] = watchController.Time.alarm1_time 3359 : watchController.Time.time_to_display.expr[4] = watchController.Time.alarm2_time 3360 : watchController.Time.time_to_display.firstActiveIndex = Modelica_StateGraph2.Blocks.BooleanFunctions.firstTrueIndex(watchController.Time.time_to_display.u) 3361 : watchController.Time.time_to_display.y = if watchController.Time.time_to_display.firstActiveIndex > 0 then watchController.Time.time_to_display.expr[watchController.Time.time_to_display.firstActiveIndex] else if watchController.Time.time_to_display.use_pre_as_default then pre(watchController.Time.time_to_display.y) else watchController.Time.time_to_display.y_default 3362 : watchController.Time.and1.y = Modelica_StateGraph2.Blocks.BooleanFunctions.allTrue(watchController.Time.and1.u) 3363 : watchController.Time.pre1.y = pre(watchController.Time.pre1.u) 3364 : watchController.Time.or1.y = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(watchController.Time.or1.u) 3365 : watchController.displayController.digit1_decoder.expr[1] = div(mod(div(watchController.displayController.time_signal, 3600), 12), 10) 3366 : watchController.displayController.digit1_decoder.expr[2] = div(mod(div(watchController.displayController.time_signal, 3600), 24), 10) 3367 : watchController.displayController.digit1_decoder.expr[3] = div(mod(div(watchController.displayController.date_signal, 100), 12), 10) 3368 : watchController.displayController.digit1_decoder.expr[4] = div(mod(watchController.displayController.year_signal, 10000), 1000) 3369 : watchController.displayController.digit1_decoder.expr[5] = div(mod(div(div(watchController.displayController.stopwatch_signal, 100), 60), 60), 10) 3370 : watchController.displayController.digit1_decoder.firstActiveIndex = Modelica_StateGraph2.Blocks.BooleanFunctions.firstTrueIndex(watchController.displayController.digit1_decoder.u) 3371 : watchController.displayController.digit1_decoder.y = if watchController.displayController.digit1_decoder.firstActiveIndex > 0 then watchController.displayController.digit1_decoder.expr[watchController.displayController.digit1_decoder.firstActiveIndex] else if watchController.displayController.digit1_decoder.use_pre_as_default then pre(watchController.displayController.digit1_decoder.y) else watchController.displayController.digit1_decoder.y_default 3372 : watchController.displayController.digit2_decoder.expr[1] = mod(mod(div(watchController.displayController.time_signal, 3600), 12), 10) 3373 : watchController.displayController.digit2_decoder.expr[2] = mod(mod(div(watchController.displayController.time_signal, 3600), 24), 10) 3374 : watchController.displayController.digit2_decoder.expr[3] = mod(mod(div(watchController.displayController.date_signal, 100), 12), 10) 3375 : watchController.displayController.digit2_decoder.expr[4] = div(mod(watchController.displayController.year_signal, 1000), 100) 3376 : watchController.displayController.digit2_decoder.expr[5] = mod(mod(div(div(watchController.displayController.stopwatch_signal, 100), 60), 60), 10) 3377 : watchController.displayController.digit2_decoder.firstActiveIndex = Modelica_StateGraph2.Blocks.BooleanFunctions.firstTrueIndex(watchController.displayController.digit2_decoder.u) 3378 : watchController.displayController.digit2_decoder.y = if watchController.displayController.digit2_decoder.firstActiveIndex > 0 then watchController.displayController.digit2_decoder.expr[watchController.displayController.digit2_decoder.firstActiveIndex] else if watchController.displayController.digit2_decoder.use_pre_as_default then pre(watchController.displayController.digit2_decoder.y) else watchController.displayController.digit2_decoder.y_default 3379 : watchController.displayController.digit3_decoder.expr[1] = div(mod(div(watchController.displayController.time_signal, 60), 60), 10) 3380 : watchController.displayController.digit3_decoder.expr[2] = watchController.displayController.digit3_decoder.expr[1] 3381 : watchController.displayController.digit3_decoder.expr[3] = div(mod(mod(watchController.displayController.date_signal, 100), 30), 10) 3382 : watchController.displayController.digit3_decoder.expr[4] = div(mod(watchController.displayController.year_signal, 100), 10) 3383 : watchController.displayController.digit3_decoder.expr[5] = div(mod(div(watchController.displayController.stopwatch_signal, 100), 60), 10) 3384 : watchController.displayController.digit3_decoder.firstActiveIndex = Modelica_StateGraph2.Blocks.BooleanFunctions.firstTrueIndex(watchController.displayController.digit3_decoder.u) 3385 : watchController.displayController.digit3_decoder.y = if watchController.displayController.digit3_decoder.firstActiveIndex > 0 then watchController.displayController.digit3_decoder.expr[watchController.displayController.digit3_decoder.firstActiveIndex] else if watchController.displayController.digit3_decoder.use_pre_as_default then pre(watchController.displayController.digit3_decoder.y) else watchController.displayController.digit3_decoder.y_default 3386 : watchController.displayController.digit4_decoder.expr[1] = mod(mod(div(watchController.displayController.time_signal, 60), 10), 10) 3387 : watchController.displayController.digit4_decoder.expr[2] = watchController.displayController.digit4_decoder.expr[1] 3388 : watchController.displayController.digit4_decoder.expr[3] = mod(mod(mod(watchController.displayController.date_signal, 100), 30), 10) 3389 : watchController.displayController.digit4_decoder.expr[4] = mod(watchController.displayController.year_signal, 10) 3390 : watchController.displayController.digit4_decoder.expr[5] = mod(mod(div(watchController.displayController.stopwatch_signal, 100), 60), 10) 3391 : watchController.displayController.digit4_decoder.firstActiveIndex = Modelica_StateGraph2.Blocks.BooleanFunctions.firstTrueIndex(watchController.displayController.digit4_decoder.u) 3392 : watchController.displayController.digit4_decoder.y = if watchController.displayController.digit4_decoder.firstActiveIndex > 0 then watchController.displayController.digit4_decoder.expr[watchController.displayController.digit4_decoder.firstActiveIndex] else if watchController.displayController.digit4_decoder.use_pre_as_default then pre(watchController.displayController.digit4_decoder.y) else watchController.displayController.digit4_decoder.y_default 3393 : watchController.displayController.text_digit_decoder.expr[1] = if mod(div(watchController.displayController.time_signal, 3600), 24) < 12 then 8 else 9 3394 : watchController.displayController.text_digit_decoder.expr[2] = -1 3395 : watchController.displayController.text_digit_decoder.expr[3] = watchController.displayController.day_signal 3396 : watchController.displayController.text_digit_decoder.expr[4] = -1 3397 : watchController.displayController.text_digit_decoder.expr[5] = 11 3398 : watchController.displayController.text_digit_decoder.firstActiveIndex = Modelica_StateGraph2.Blocks.BooleanFunctions.firstTrueIndex(watchController.displayController.text_digit_decoder.u) 3399 : watchController.displayController.text_digit_decoder.y = if watchController.displayController.text_digit_decoder.firstActiveIndex > 0 then watchController.displayController.text_digit_decoder.expr[watchController.displayController.text_digit_decoder.firstActiveIndex] else if watchController.displayController.text_digit_decoder.use_pre_as_default then pre(watchController.displayController.text_digit_decoder.y) else watchController.displayController.text_digit_decoder.y_default 3400 : watchController.displayController.small_digit_decoder.expr[1] = 12 3401 : watchController.displayController.small_digit_decoder.expr[2] = 24 3402 : watchController.displayController.small_digit_decoder.expr[3] = -1 3403 : watchController.displayController.small_digit_decoder.expr[4] = -1 3404 : watchController.displayController.small_digit_decoder.expr[5] = mod(watchController.displayController.stopwatch_signal, 100) 3405 : watchController.displayController.small_digit_decoder.firstActiveIndex = Modelica_StateGraph2.Blocks.BooleanFunctions.firstTrueIndex(watchController.displayController.small_digit_decoder.u) 3406 : watchController.displayController.small_digit_decoder.y = if watchController.displayController.small_digit_decoder.firstActiveIndex > 0 then watchController.displayController.small_digit_decoder.expr[watchController.displayController.small_digit_decoder.firstActiveIndex] else if watchController.displayController.small_digit_decoder.use_pre_as_default then pre(watchController.displayController.small_digit_decoder.y) else watchController.displayController.small_digit_decoder.y_default 3407 : watchController.displayController.and1.y = watchController.displayController.and1.u1 and watchController.displayController.and1.u2 3408 : watchController.displayController.and2.y = watchController.displayController.and2.u1 and watchController.displayController.and2.u2 3409 : watchController.displayController.not1.y = not watchController.displayController.not1.u 3410 : watchController.time_mode.y = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(watchController.time_mode.u) 3411 : watchController.date_mode.y = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(watchController.date_mode.u) 3412 : or2.y = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(or2.u) 3413 : dead.activePort = dead.active 3414 : alarm1_beeps.activePort = alarm1_beeps.active 3415 : any_button_pressed.condition = A.y and not pre(A.y) or B.y and not pre(B.y) or C.y and not pre(C.y) or D.y and not pre(D.y) 3416 : true = regular.update.active 3417 : true = out.chime.active 3418 : 0.0 = out.alarm1.active 3419 : true = out.update1.active 3420 : true = out.alarm2.active 3421 : true = out.update2.active 3422 : true = stopwatch.active 3423 : true = stopwatch.displayrun.active 3424 : watchController.Time.T_hits_T1.y = abs(mod(watchController.Time.clock_time, 86400) - watchController.Time.alarm1_time) == 0 3425 : watchController.Time.T_hits_T2.y = abs(mod(watchController.Time.clock_time, 86400) - watchController.Time.alarm2_time) == 0 3426 : watchController.Time.T_IS_WHOLE_HOUR.y = mod(watchController.Time.clock_time, 3600) == 0 3427 : watchController.displayController.booleanExpression.y = /*Real*/(mod(watchController.displayController.mode_signal, 2)) < 0.5 3428 : when {$whenCondition1, $whenCondition2} then watchController.Time.clock.y := if watchController.Time.clock.local_reset then watchController.Time.clock.local_set else pre(watchController.Time.clock.y) + watchController.Time.clock.u; end when; 3429 : when $whenCondition3 then wait_c_up_1.t_start := time; end when; 3430 : when $whenCondition4 then two_sec_in_wait.t_start := time; end when; 3431 : when $whenCondition5 then wait.oldActive := wait.active; end when; 3432 : when false then A.buttonState := false; end when; 3433 : when false then B.buttonState := false; end when; 3434 : when false then D.buttonState := false; end when; 3435 : when false then C.buttonState := false; end when; 3436 : when $whenCondition6 then two_min_in_out.t_start := time; end when; 3437 : when $whenCondition7 then stopwatch.oldActive := stopwatch.active; end when; 3438 : when {$whenCondition8, $whenCondition9} then stopwatch.finished := stopwatch.outport_fire; end when; 3439 : when {$whenCondition10, true} then stopwatch.stopwatch_lap.y := stopwatch.stopwatch_lap.local_set; end when; 3440 : when {$whenCondition11, true} then stopwatch.stopwatch_clock.y := stopwatch.stopwatch_clock.local_set; end when; 3441 : when $whenCondition12 then stopwatch.zero.oldActive := true; end when; 3442 : when $whenCondition13 then stopwatch.off.oldActive := stopwatch.off.active; end when; 3443 : when $whenCondition14 then stopwatch.on.oldActive := true; end when; 3444 : when $whenCondition15 then stopwatch.lap.oldActive := true; end when; 3445 : when $whenCondition16 then stopwatch.reg.oldActive := true; end when; 3446 : when $whenCondition17 then stopwatch.displayrun.oldActive := stopwatch.displayrun.active; end when; 3447 : when {$whenCondition18, $whenCondition19} then stopwatch.displayrun.finished := stopwatch.displayrun.outport_fire; end when; 3448 : when $whenCondition20 then out.oldActive := out.active; end when; 3449 : when {$whenCondition21, $whenCondition22} then out.finished := out.outport_fire; end when; 3450 : when {$whenCondition23, $whenCondition24} then out.alarm1_setting.y := if out.alarm1_setting.local_reset then out.alarm1_setting.local_set else pre(out.alarm1_setting.y) + out.alarm1_setting.u; end when; 3451 : when {true, $whenCondition25} then out.alarm2_setting.y := if out.alarm2_setting.local_reset then out.alarm2_setting.local_set else pre(out.alarm2_setting.y) + out.alarm2_setting.u; end when; 3452 : when $whenCondition26 then out.update2_min.oldActive := true; end when; 3453 : when $whenCondition27 then out.update2_ten_min.oldActive := true; end when; 3454 : when $whenCondition28 then out.update2_hour.oldActive := true; end when; 3455 : when $whenCondition29 then out.update2.oldActive := out.update2.active; end when; 3456 : when {$whenCondition30, $whenCondition31} then out.update2.finished := out.update2.outport_fire; end when; 3457 : when $whenCondition32 then out.alarm2_on.oldActive := 0.0; end when; 3458 : when $whenCondition33 then out.alarm2_off.oldActive := 0.0; end when; 3459 : when $whenCondition34 then out.alarm2.oldActive := out.alarm2.active; end when; 3460 : when {$whenCondition35, $whenCondition36} then out.alarm2.finished := out.alarm2.outport_fire; end when; 3461 : when $whenCondition37 then out.update1_min.oldActive := out.local_outPort[1].checkOneDelayedTransitionPerLoop; end when; 3462 : when $whenCondition38 then out.update1_ten_min.oldActive := true; end when; 3463 : when $whenCondition39 then out.update1_hour.oldActive := true; end when; 3464 : when $whenCondition40 then out.update1.oldActive := out.update1.active; end when; 3465 : when {$whenCondition41, $whenCondition42} then out.update1.finished := out.update1.outport_fire; end when; 3466 : when $whenCondition43 then out.alarm1_on.oldActive := out.chime_on.inPort[1].checkOneDelayedTransitionPerLoop; end when; 3467 : when $whenCondition44 then out.alarm1_off.oldActive := true; end when; 3468 : when $whenCondition45 then out.alarm1.oldActive := out.alarm1.active; end when; 3469 : when {$whenCondition46, $whenCondition47} then out.alarm1.finished := out.alarm1.outport_fire; end when; 3470 : when $whenCondition48 then out.chime_on.oldActive := 0.0; end when; 3471 : when $whenCondition49 then out.chime_off.oldActive := true; end when; 3472 : when $whenCondition50 then out.chime.oldActive := out.chime.active; end when; 3473 : when {$whenCondition51, $whenCondition52} then out.chime.finished := out.chime.outport_fire; end when; 3474 : when $whenCondition53 then regular.oldActive := regular.active; end when; 3475 : when {$whenCondition54, $whenCondition55} then regular.finished := regular.outport_fire; end when; 3476 : when {true, $whenCondition56} then regular.day_setting.y := if regular.day_setting.local_reset then regular.day_setting.local_set else pre(regular.day_setting.y) + regular.day_setting.u; end when; 3477 : when {true, $whenCondition57} then regular.year_setting.y := if regular.year_setting.local_reset then regular.year_setting.local_set else pre(regular.year_setting.y) + regular.year_setting.u; end when; 3478 : when {true, $whenCondition58} then regular.mode_setting.y := if regular.mode_setting.local_reset then regular.mode_setting.local_set else pre(regular.mode_setting.y) + regular.mode_setting.u; end when; 3479 : when {false, $whenCondition59} then regular.date_setting.y := if regular.date_setting.local_reset then regular.date_setting.local_set else pre(regular.date_setting.y) + regular.date_setting.u; end when; 3480 : when {true, $whenCondition60} then regular.time_setting.y := if regular.time_setting.local_reset then regular.time_setting.local_set else pre(regular.time_setting.y) + regular.time_setting.u; end when; 3481 : when $whenCondition61 then regular.two_min_in_date.t_start := time; end when; 3482 : when $whenCondition62 then regular.date_.oldActive := true; end when; 3483 : when $whenCondition63 then regular.OI_d_up.t_start := time; end when; 3484 : when $whenCondition64 then regular.IO_b_up.t_start := time; end when; 3485 : when $whenCondition65 then regular.beep_b_up.t_start := time; end when; 3486 : when $whenCondition66 then regular.beep_d_up.t_start := time; end when; 3487 : when $whenCondition67 then regular.beep.oldActive := regular.beep.active; end when; 3488 : when $whenCondition68 then regular.OI.oldActive := regular.OI.active; end when; 3489 : when $whenCondition69 then regular.OO.oldActive := regular.OO.active; end when; 3490 : when $whenCondition70 then regular.IO.oldActive := regular.IO.active; end when; 3491 : when $whenCondition71 then regular.two_min_in_update.t_start := time; end when; 3492 : when $whenCondition72 then regular.date.oldActive := true; end when; 3493 : when $whenCondition73 then regular.mode.oldActive := true; end when; 3494 : when $whenCondition74 then regular.year.oldActive := true; end when; 3495 : when $whenCondition75 then regular.day.oldActive := true; end when; 3496 : when $whenCondition76 then regular.month.oldActive := 0.0; end when; 3497 : when $whenCondition77 then regular.hour.oldActive := true; end when; 3498 : when $whenCondition78 then regular.ten_minutes.oldActive := 0.0; end when; 3499 : when $whenCondition79 then regular.minute.oldActive := true; end when; 3500 : when $whenCondition80 then regular.second.oldActive := true; end when; 3501 : when $whenCondition81 then regular.update.oldActive := regular.update.active; end when; 3502 : when {$whenCondition82, $whenCondition83} then regular.update.finished := regular.update.outport_fire; end when; 3503 : when $whenCondition84 then regular.time_.oldActive := true; end when; 3504 : when $whenCondition85 then displays.oldActive := displays.active; end when; 3505 : when {$whenCondition86, $whenCondition87} then displays.finished := displays.outport_fire; end when; 3506 : when $whenCondition88 then power_blink.oldActive := power_blink.active; end when; 3507 : when $whenCondition89 then power_ok.oldActive := power_ok.active; end when; 3508 : when $whenCondition90 then chime_disabled.oldActive := chime_disabled.active; end when; 3509 : when $whenCondition91 then chime_enabled.oldActive := chime_enabled.active; end when; 3510 : when {$whenCondition92, $whenCondition93} then chime_enabled.finished := chime_enabled.outport_fire; end when; 3511 : when $whenCondition94 then two_secs_in_chime_enabled_beeps.t_start := time; end when; 3512 : when $whenCondition95 then chime_enabled_beep.oldActive := chime_enabled_beep.active; end when; 3513 : when $whenCondition96 then chime_enabled_quiet.oldActive := chime_enabled_quiet.active; end when; 3514 : when $whenCondition97 then light_on.oldActive := 0.0; end when; 3515 : when $whenCondition98 then light_off.oldActive := true; end when; 3516 : when $whenCondition99 then alarm2_status_enabled.oldActive := true; end when; 3517 : when $whenCondition100 then alarm2_status_disabled.oldActive := alarm2_status_disabled.active; end when; 3518 : when $whenCondition101 then weaken_battery.buttonState := false; end when; 3519 : when $whenCondition102 then thirty_secs_in_alarms_beep.t_start := time; end when; 3520 : when $whenCondition103 then choose_alarm.oldActive := choose_alarm.active; end when; 3521 : when $whenCondition104 then both_beep.oldActive := true; end when; 3522 : when $whenCondition105 then alarm2_beeps.oldActive := 0.0; end when; 3523 : when $whenCondition106 then alarm1_status_enabled.oldActive := true; end when; 3524 : when $whenCondition107 then alarm1_status_disabled.oldActive := alarm1_status_disabled.active; end when; 3525 : when $whenCondition108 then alarm1_beeps.oldActive := alarm1_beeps.active; end when; 3526 : when $whenCondition109 then kill_battery.buttonState := false; end when; 3527 : when $whenCondition110 then remove_battery.buttonState := false; end when; 3528 : when $whenCondition111 then insert_battery.buttonState := false; end when; 3529 : when $whenCondition112 then alarms_beep.oldActive := alarms_beep.active; end when; 3530 : when {$whenCondition113, $whenCondition114} then alarms_beep.finished := alarms_beep.outport_fire; end when; 3531 : when $whenCondition115 then dead.oldActive := dead.active; end when; 3532 : when $whenCondition116 then main.oldActive := main.active; end when; 3533 : when {$whenCondition117, $whenCondition118} then main.finished := main.outport_fire; end when; 3534 : $whenCondition1 = watchController.Time.clock.trigger 3535 : $whenCondition2 = watchController.Time.clock.local_reset 3536 : $whenCondition3 = wait_c_up_1.enableFire 3537 : $whenCondition4 = two_sec_in_wait.enableFire 3538 : $whenCondition5 = wait.connectorNode.node.suspend 3539 : $whenCondition6 = two_min_in_out.enableFire 3540 : $whenCondition7 = stopwatch.connectorNode.node.suspend 3541 : $whenCondition8 = stopwatch.outport_fire 3542 : $whenCondition9 = pre(stopwatch.entry_fire) 3543 : $whenCondition10 = stopwatch.stopwatch_lap.trigger 3544 : $whenCondition11 = stopwatch.stopwatch_clock.trigger 3545 : $whenCondition12 = stopwatch.zero.connectorNode.node.suspend 3546 : $whenCondition13 = stopwatch.off.connectorNode.node.suspend 3547 : $whenCondition14 = stopwatch.on.connectorNode.node.suspend 3548 : $whenCondition15 = stopwatch.lap.connectorNode.node.suspend 3549 : $whenCondition16 = stopwatch.reg.connectorNode.node.suspend 3550 : $whenCondition17 = stopwatch.displayrun.connectorNode.node.suspend 3551 : $whenCondition18 = stopwatch.displayrun.outport_fire 3552 : $whenCondition19 = pre(stopwatch.displayrun.entry_fire) 3553 : $whenCondition20 = out.connectorNode.node.suspend 3554 : $whenCondition21 = out.outport_fire 3555 : $whenCondition22 = pre(out.entry_fire) 3556 : $whenCondition23 = out.local_outPort[1].checkOneDelayedTransitionPerLoop 3557 : $whenCondition24 = out.alarm1_setting.local_reset 3558 : $whenCondition25 = out.alarm2_setting.local_reset 3559 : $whenCondition26 = out.update2_min.connectorNode.node.suspend 3560 : $whenCondition27 = out.update2_ten_min.connectorNode.node.suspend 3561 : $whenCondition28 = out.update2_hour.connectorNode.node.suspend 3562 : $whenCondition29 = out.update2.connectorNode.node.suspend 3563 : $whenCondition30 = out.update2.outport_fire 3564 : $whenCondition31 = pre(out.update2.entry_fire) 3565 : $whenCondition32 = out.alarm2_on.connectorNode.node.suspend 3566 : $whenCondition33 = out.alarm2_off.connectorNode.node.suspend 3567 : $whenCondition34 = out.alarm2.connectorNode.node.suspend 3568 : $whenCondition35 = out.alarm2.outport_fire 3569 : $whenCondition36 = pre(out.alarm2.entry_fire) 3570 : $whenCondition37 = out.update1_min.connectorNode.node.suspend 3571 : $whenCondition38 = out.update1_ten_min.connectorNode.node.suspend 3572 : $whenCondition39 = out.update1_hour.connectorNode.node.suspend 3573 : $whenCondition40 = out.update1.connectorNode.node.suspend 3574 : $whenCondition41 = out.update1.outport_fire 3575 : $whenCondition42 = pre(out.update1.entry_fire) 3576 : $whenCondition43 = out.alarm1_on.connectorNode.node.suspend 3577 : $whenCondition44 = out.alarm1_off.connectorNode.node.suspend 3578 : $whenCondition45 = out.alarm1.connectorNode.node.suspend 3579 : $whenCondition46 = out.alarm1.outport_fire 3580 : $whenCondition47 = pre(out.alarm1.entry_fire) 3581 : $whenCondition48 = out.chime_on.connectorNode.node.suspend 3582 : $whenCondition49 = out.chime_off.connectorNode.node.suspend 3583 : $whenCondition50 = out.chime.connectorNode.node.suspend 3584 : $whenCondition51 = out.chime.outport_fire 3585 : $whenCondition52 = pre(out.chime.entry_fire) 3586 : $whenCondition53 = regular.connectorNode.node.suspend 3587 : $whenCondition54 = regular.outport_fire 3588 : $whenCondition55 = pre(regular.entry_fire) 3589 : $whenCondition56 = regular.day_setting.local_reset 3590 : $whenCondition57 = regular.year_setting.local_reset 3591 : $whenCondition58 = regular.mode_setting.local_reset 3592 : $whenCondition59 = regular.date_setting.local_reset 3593 : $whenCondition60 = regular.time_setting.local_reset 3594 : $whenCondition61 = regular.two_min_in_date.enableFire 3595 : $whenCondition62 = regular.date_.connectorNode.node.suspend 3596 : $whenCondition63 = regular.OI_d_up.enableFire 3597 : $whenCondition64 = regular.IO_b_up.enableFire 3598 : $whenCondition65 = regular.beep_b_up.enableFire 3599 : $whenCondition66 = regular.beep_d_up.enableFire 3600 : $whenCondition67 = regular.beep.connectorNode.node.suspend 3601 : $whenCondition68 = regular.OI.connectorNode.node.suspend 3602 : $whenCondition69 = regular.OO.connectorNode.node.suspend 3603 : $whenCondition70 = regular.IO.connectorNode.node.suspend 3604 : $whenCondition71 = regular.two_min_in_update.enableFire 3605 : $whenCondition72 = regular.date.connectorNode.node.suspend 3606 : $whenCondition73 = regular.mode.connectorNode.node.suspend 3607 : $whenCondition74 = regular.year.connectorNode.node.suspend 3608 : $whenCondition75 = regular.day.connectorNode.node.suspend 3609 : $whenCondition76 = regular.month.connectorNode.node.suspend 3610 : $whenCondition77 = regular.hour.connectorNode.node.suspend 3611 : $whenCondition78 = regular.ten_minutes.connectorNode.node.suspend 3612 : $whenCondition79 = regular.minute.connectorNode.node.suspend 3613 : $whenCondition80 = regular.second.connectorNode.node.suspend 3614 : $whenCondition81 = regular.update.connectorNode.node.suspend 3615 : $whenCondition82 = regular.update.outport_fire 3616 : $whenCondition83 = pre(regular.update.entry_fire) 3617 : $whenCondition84 = regular.time_.connectorNode.node.suspend 3618 : $whenCondition85 = displays.connectorNode.node.suspend 3619 : $whenCondition86 = displays.outport_fire 3620 : $whenCondition87 = pre(displays.entry_fire) 3621 : $whenCondition88 = power_blink.connectorNode.node.suspend 3622 : $whenCondition89 = power_ok.connectorNode.node.suspend 3623 : $whenCondition90 = chime_disabled.connectorNode.node.suspend 3624 : $whenCondition91 = chime_enabled.connectorNode.node.suspend 3625 : $whenCondition92 = chime_enabled.outport_fire 3626 : $whenCondition93 = pre(chime_enabled.entry_fire) 3627 : $whenCondition94 = two_secs_in_chime_enabled_beeps.enableFire 3628 : $whenCondition95 = chime_enabled_beep.connectorNode.node.suspend 3629 : $whenCondition96 = chime_enabled_quiet.connectorNode.node.suspend 3630 : $whenCondition97 = light_on.connectorNode.node.suspend 3631 : $whenCondition98 = light_off.connectorNode.node.suspend 3632 : $whenCondition99 = alarm2_status_enabled.connectorNode.node.suspend 3633 : $whenCondition100 = alarm2_status_disabled.connectorNode.node.suspend 3634 : $whenCondition101 = pre(weaken_battery.buttonState) 3635 : $whenCondition102 = thirty_secs_in_alarms_beep.enableFire 3636 : $whenCondition103 = choose_alarm.connectorNode.node.suspend 3637 : $whenCondition104 = both_beep.connectorNode.node.suspend 3638 : $whenCondition105 = alarm2_beeps.connectorNode.node.suspend 3639 : $whenCondition106 = alarm1_status_enabled.connectorNode.node.suspend 3640 : $whenCondition107 = alarm1_status_disabled.connectorNode.node.suspend 3641 : $whenCondition108 = alarm1_beeps.connectorNode.node.suspend 3642 : $whenCondition109 = pre(kill_battery.buttonState) 3643 : $whenCondition110 = pre(remove_battery.buttonState) 3644 : $whenCondition111 = pre(insert_battery.buttonState) 3645 : $whenCondition112 = alarms_beep.connectorNode.node.suspend 3646 : $whenCondition113 = alarms_beep.outport_fire 3647 : $whenCondition114 = pre(alarms_beep.entry_fire) 3648 : $whenCondition115 = dead.connectorNode.node.suspend 3649 : $whenCondition116 = main.connectorNode.node.suspend 3650 : $whenCondition117 = main.outport_fire 3651 : $whenCondition118 = pre(main.entry_fire) Notification: Performance of preOpt encapsulateWhenConditions (simulation) : time 0.5743/2.572, allocations: 33.14 MB / 0.7964 GB, free: 141.7 MB / 494.3 MB Error: pre-optimization module encapsulateWhenConditions (simulation) failed.