Running: ./testmodel.py --libraries=/home/hudson/saved_omc/libraries/.openmodelica/libraries --ompython_omhome=/usr Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller.conf.json loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/ModelicaServices 4.0.0+maint.om/package.mo", uses=false) loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/Complex 4.0.0+maint.om/package.mo", uses=false) loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica 4.0.0+maint.om/package.mo", uses=false) loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/Buildings 12.0.0-master/package.mo", uses=false) Using package Buildings with version 12.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/Buildings 12.0.0-master/package.mo) Using package Modelica with version 4.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica 4.0.0+maint.om/package.mo) Using package Complex with version 4.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/Complex 4.0.0+maint.om/package.mo) Using package ModelicaServices with version 4.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/ModelicaServices 4.0.0+maint.om/package.mo) Running command: translateModel(Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller,tolerance=1e-06,outputFormat="mat",numberOfIntervals=5000,variableFilter="time|conVAV.cooDowTim|conVAV.warUpTim|conVAV.u1Occ|conVAV.u1OccSen|conVAV.u1Win|conVAV.u1SofSwiRes|conVAV.tNexOcc|conVAV.TZon|conVAV.cooSetAdj|conVAV.heaSetAdj|conVAV.yCooCoi|conVAV.yHeaCoi|conVAV.TAirSup|conVAV.conEco.ecoHigLim.TCut|conVAV.TOut|conVAV.uOutDam|conVAV.uCooCoi_actual|conVAV.uHeaCoi_actual|conVAV.TSupHeaEcoSet|conVAV.TSupCooSet|conVAV.TZonHeaSet|conVAV.TZonCooSet|conVAV.yRetDam|conVAV.yOutDam|conVAV.ySupFan",fileNamePrefix="Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller") translateModel(Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller,tolerance=1e-06,outputFormat="mat",numberOfIntervals=5000,variableFilter="time|conVAV.cooDowTim|conVAV.warUpTim|conVAV.u1Occ|conVAV.u1OccSen|conVAV.u1Win|conVAV.u1SofSwiRes|conVAV.tNexOcc|conVAV.TZon|conVAV.cooSetAdj|conVAV.heaSetAdj|conVAV.yCooCoi|conVAV.yHeaCoi|conVAV.TAirSup|conVAV.conEco.ecoHigLim.TCut|conVAV.TOut|conVAV.uOutDam|conVAV.uCooCoi_actual|conVAV.uHeaCoi_actual|conVAV.TSupHeaEcoSet|conVAV.TSupCooSet|conVAV.TZonHeaSet|conVAV.TZonCooSet|conVAV.yRetDam|conVAV.yOutDam|conVAV.ySupFan",fileNamePrefix="Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller") Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/ModelicaServices 4.0.0+maint.om/package.mo): time 0.001428/0.001428, allocations: 111.3 kB / 15.23 MB, free: 0.6602 MB / 14.72 MB Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/Complex 4.0.0+maint.om/package.mo): time 0.00188/0.00188, allocations: 193.5 kB / 16.14 MB, free: 6.645 MB / 14.72 MB Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica 4.0.0+maint.om/package.mo): time 1.545/1.545, allocations: 222.9 MB / 239.8 MB, free: 4.59 MB / 190.1 MB Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/Buildings 12.0.0-master/package.mo): time 3.11/3.11, allocations: 472.6 MB / 0.7447 GB, free: 4.945 MB / 0.5919 GB Notification: Performance of FrontEnd - loaded program: time 0.001339/0.001339, allocations: 77.62 kB / 0.9115 GB, free: 33.54 MB / 0.7169 GB Notification: Performance of FrontEnd - Absyn->SCode: time 0.4042/0.4055, allocations: 159.9 MB / 1.068 GB, free: 10.84 MB / 0.8262 GB Notification: Performance of NFInst.instantiate(Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller): time 0.0431/0.4487, allocations: 28.13 MB / 1.095 GB, free: 14.57 MB / 0.8575 GB Notification: Performance of NFInst.instExpressions: time 0.03696/0.4857, allocations: 13.66 MB / 1.108 GB, free: 0.8828 MB / 0.8575 GB Notification: Performance of NFInst.updateImplicitVariability: time 0.01727/0.5031, allocations: 231.2 kB / 1.109 GB, free: 0.6562 MB / 0.8575 GB Notification: Performance of NFTyping.typeComponents: time 0.008763/0.5119, allocations: 1.325 MB / 1.11 GB, free: 15.32 MB / 0.8731 GB Notification: Performance of NFTyping.typeBindings: time 0.01262/0.5246, allocations: 0.7948 MB / 1.111 GB, free: 14.52 MB / 0.8731 GB Notification: Performance of NFTyping.typeClassSections: time 0.01898/0.5436, allocations: 5.898 MB / 1.116 GB, free: 8.629 MB / 0.8731 GB Notification: Performance of NFFlatten.flatten: time 0.02538/0.569, allocations: 14.13 MB / 1.13 GB, free: 10.46 MB / 0.8887 GB Notification: Performance of NFFlatten.resolveConnections: time 0.03448/0.6035, allocations: 11.68 MB / 1.142 GB, free: 14.63 MB / 0.9044 GB Notification: Performance of NFEvalConstants.evaluate: time 0.01056/0.6141, allocations: 3.543 MB / 1.145 GB, free: 11.07 MB / 0.9044 GB Notification: Performance of NFSimplifyModel.simplify: time 0.007511/0.6217, allocations: 4.274 MB / 1.149 GB, free: 6.773 MB / 0.9044 GB Notification: Performance of NFPackage.collectConstants: time 0.005693/0.6274, allocations: 0.6328 MB / 1.15 GB, free: 6.141 MB / 0.9044 GB Notification: Performance of NFFlatten.collectFunctions: time 0.003972/0.6314, allocations: 0.7342 MB / 1.151 GB, free: 5.406 MB / 0.9044 GB Notification: Performance of NFScalarize.scalarize: time 0.005934/0.6374, allocations: 2.015 MB / 1.153 GB, free: 3.383 MB / 0.9044 GB Notification: Performance of NFVerifyModel.verify: time 0.01151/0.6489, allocations: 4.865 MB / 1.157 GB, free: 14.49 MB / 0.92 GB Notification: Performance of NFConvertDAE.convert: time 0.03076/0.6797, allocations: 24.56 MB / 1.181 GB, free: 5.859 MB / 0.9356 GB Notification: Performance of FrontEnd - DAE generated: time 7.635e-06/0.6798, allocations: 0 / 1.181 GB, free: 5.859 MB / 0.9356 GB Notification: Performance of FrontEnd: time 1.953e-06/0.6798, allocations: 0 / 1.181 GB, free: 5.859 MB / 0.9356 GB Notification: Performance of Transformations before backend: time 0.0007365/0.6806, allocations: 0 / 1.181 GB, free: 5.859 MB / 0.9356 GB Notification: Model statistics after passing the front-end and creating the data structures used by the back-end: * Number of equations: 2446 * Number of variables: 2446 Notification: Performance of Generate backend data structure: time 0.03789/0.7185, allocations: 10.89 MB / 1.192 GB, free: 10.72 MB / 0.9512 GB Notification: Performance of prepare preOptimizeDAE: time 7.642e-05/0.7186, allocations: 8.031 kB / 1.192 GB, free: 10.71 MB / 0.9512 GB Notification: Performance of preOpt normalInlineFunction (simulation): time 0.005776/0.7244, allocations: 1.117 MB / 1.193 GB, free: 9.586 MB / 0.9512 GB Notification: Performance of preOpt evaluateParameters (simulation): time 0.01792/0.7423, allocations: 6.417 MB / 1.199 GB, free: 3.082 MB / 0.9512 GB Notification: Performance of preOpt simplifyIfEquations (simulation): time 0.001259/0.7436, allocations: 0.8158 MB / 1.2 GB, free: 2.266 MB / 0.9512 GB Notification: Performance of preOpt expandDerOperator (simulation): time 0.00454/0.7482, allocations: 1.047 MB / 1.201 GB, free: 1.219 MB / 0.9512 GB Notification: Performance of preOpt clockPartitioning (simulation): time 0.04013/0.7883, allocations: 10.49 MB / 1.211 GB, free: 6.48 MB / 0.9669 GB Notification: Performance of preOpt findStateOrder (simulation): time 0.0003816/0.7888, allocations: 15.86 kB / 1.211 GB, free: 6.465 MB / 0.9669 GB Notification: Performance of preOpt replaceEdgeChange (simulation): time 0.003602/0.7924, allocations: 423.9 kB / 1.212 GB, free: 6.051 MB / 0.9669 GB Notification: Performance of preOpt inlineArrayEqn (simulation): time 0.000286/0.7927, allocations: 236 kB / 1.212 GB, free: 5.82 MB / 0.9669 GB Notification: Performance of preOpt removeEqualRHS (simulation): time 0.02863/0.8213, allocations: 7.981 MB / 1.22 GB, free: 13.83 MB / 0.9825 GB Notification: Performance of preOpt removeSimpleEquations (simulation): time 1.513/2.334, allocations: 38.91 MB / 1.258 GB, free: 131.3 MB / 0.9982 GB Notification: Performance of preOpt comSubExp (simulation): time 0.01706/2.351, allocations: 8.04 MB / 1.266 GB, free: 130.2 MB / 0.9982 GB Notification: Performance of preOpt resolveLoops (simulation): time 0.00767/2.359, allocations: 3.255 MB / 1.269 GB, free: 130 MB / 0.9982 GB Notification: Performance of preOpt evalFunc (simulation): time 0.0004734/2.36, allocations: 126 kB / 1.269 GB, free: 129.9 MB / 0.9982 GB Notification: Performance of preOpt encapsulateWhenConditions (simulation): time 0.01349/2.373, allocations: 4.358 MB / 1.273 GB, free: 129.3 MB / 0.9982 GB Notification: Performance of pre-optimization done (n=705): time 4.422e-05/2.373, allocations: 0 / 1.273 GB, free: 129.3 MB / 0.9982 GB Notification: Performance of matching and sorting (n=705): time 0.03591/2.409, allocations: 8.945 MB / 1.282 GB, free: 126.8 MB / 0.9982 GB Notification: Performance of inlineWhenForInitialization (initialization): time 0.0004991/2.41, allocations: 1.078 MB / 1.283 GB, free: 125.6 MB / 0.9982 GB Notification: Performance of selectInitializationVariablesDAE (initialization): time 0.01353/2.423, allocations: 4.869 MB / 1.288 GB, free: 123.7 MB / 0.9982 GB Notification: Performance of collectPreVariables (initialization): time 0.002526/2.426, allocations: 397.4 kB / 1.288 GB, free: 123.5 MB / 0.9982 GB Notification: Performance of collectInitialEqns (initialization): time 0.0059/2.432, allocations: 3.704 MB / 1.292 GB, free: 120.9 MB / 0.9982 GB Notification: Performance of collectInitialBindings (initialization): time 0.004467/2.436, allocations: 1.855 MB / 1.294 GB, free: 119.5 MB / 0.9982 GB Notification: Performance of simplifyInitialFunctions (initialization): time 0.01044/2.447, allocations: 2.006 MB / 1.296 GB, free: 118.6 MB / 0.9982 GB Notification: Performance of setup shared object (initialization): time 9.056e-05/2.447, allocations: 306.2 kB / 1.296 GB, free: 118.3 MB / 0.9982 GB Notification: Performance of preBalanceInitialSystem (initialization): time 0.011/2.458, allocations: 2.802 MB / 1.299 GB, free: 117.1 MB / 0.9982 GB Notification: Performance of partitionIndependentBlocks (initialization): time 0.01248/2.47, allocations: 5.39 MB / 1.304 GB, free: 112.7 MB / 0.9982 GB Notification: Performance of analyzeInitialSystem (initialization): time 0.01671/2.487, allocations: 7.229 MB / 1.311 GB, free: 107.3 MB / 0.9982 GB Notification: Performance of solveInitialSystemEqSystem (initialization): time 0.0001128/2.487, allocations: 19.56 kB / 1.311 GB, free: 107.3 MB / 0.9982 GB Notification: Performance of matching and sorting (n=1149) (initialization): time 0.02695/2.514, allocations: 8.51 MB / 1.319 GB, free: 103.7 MB / 0.9982 GB Notification: Performance of prepare postOptimizeDAE: time 0.0002133/2.515, allocations: 124.6 kB / 1.319 GB, free: 103.6 MB / 0.9982 GB Notification: Performance of postOpt simplifyComplexFunction (initialization): time 0.0001747/2.515, allocations: 71.94 kB / 1.319 GB, free: 103.6 MB / 0.9982 GB Notification: Performance of postOpt tearingSystem (initialization): time 0.0002059/2.515, allocations: 121.4 kB / 1.32 GB, free: 103.6 MB / 0.9982 GB Notification: Performance of postOpt solveSimpleEquations (initialization): time 0.00665/2.522, allocations: 1.233 MB / 1.321 GB, free: 103.4 MB / 0.9982 GB Notification: Performance of postOpt calculateStrongComponentJacobians (initialization): time 0.0003794/2.522, allocations: 159 kB / 1.321 GB, free: 103.3 MB / 0.9982 GB Notification: Performance of postOpt simplifyAllExpressions (initialization): time 0.008657/2.531, allocations: 1.062 MB / 1.322 GB, free: 102.8 MB / 0.9982 GB Notification: Performance of postOpt collapseArrayExpressions (initialization): time 0.00214/2.533, allocations: 392.6 kB / 1.322 GB, free: 102.7 MB / 0.9982 GB Notification: Performance of preBalanceInitialSystem (initialization_lambda0): time 0.01574/2.549, allocations: 4.222 MB / 1.326 GB, free: 100.6 MB / 0.9982 GB Notification: Performance of partitionIndependentBlocks (initialization_lambda0): time 0.01366/2.562, allocations: 5.257 MB / 1.332 GB, free: 95.87 MB / 0.9982 GB Notification: Performance of analyzeInitialSystem (initialization_lambda0): time 0.01797/2.58, allocations: 7.046 MB / 1.338 GB, free: 87.99 MB / 0.9982 GB Notification: Performance of solveInitialSystemEqSystem (initialization_lambda0): time 0.0001098/2.581, allocations: 20 kB / 1.338 GB, free: 87.97 MB / 0.9982 GB Notification: Performance of matching and sorting (n=1149) (initialization_lambda0): time 0.02485/2.605, allocations: 8.297 MB / 1.347 GB, free: 80.17 MB / 0.9982 GB Notification: Performance of prepare postOptimizeDAE: time 0.0001612/2.606, allocations: 128.1 kB / 1.347 GB, free: 80.03 MB / 0.9982 GB Notification: Performance of postOpt simplifyComplexFunction (initialization): time 0.0001584/2.606, allocations: 70.89 kB / 1.347 GB, free: 79.96 MB / 0.9982 GB Notification: Performance of postOpt tearingSystem (initialization): time 0.0001261/2.606, allocations: 116 kB / 1.347 GB, free: 79.85 MB / 0.9982 GB Notification: Performance of postOpt solveSimpleEquations (initialization): time 0.005952/2.612, allocations: 1.182 MB / 1.348 GB, free: 78.82 MB / 0.9982 GB Notification: Performance of postOpt calculateStrongComponentJacobians (initialization): time 0.0002235/2.612, allocations: 162.2 kB / 1.348 GB, free: 78.68 MB / 0.9982 GB Notification: Performance of postOpt simplifyAllExpressions (initialization): time 0.008268/2.62, allocations: 0.9406 MB / 1.349 GB, free: 77.84 MB / 0.9982 GB Notification: Performance of postOpt collapseArrayExpressions (initialization): time 0.002183/2.623, allocations: 386 kB / 1.349 GB, free: 77.48 MB / 0.9982 GB Notification: Model statistics after passing the back-end for initialization: * Number of independent subsystems: 314 * Number of states: 0 () * Number of discrete variables: 719 ($PRE.conVAV.conEco.enaDis.truFalHol.onDel1.u,$PRE.conVAV.conEco.enaDis.truFalHol.onDel2.u,$PRE.conVAV.conEco.enaDis.truFalHol.toTrue.enableFire,$PRE.conVAV.conEco.enaDis.truFalHol.toFalse.enableFire,$PRE.conVAV.conEco.enaDis.truFalHol.toTrue1.enableFire,$PRE.conVAV.conEco.enaDis.truFalHol.toFalse1.enableFire,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.onDel1.u,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.onDel2.u,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.toTrue.enableFire,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.toFalse.enableFire,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.toTrue1.enableFire,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.enableFire,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.u,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.u,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.enableFire,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.enableFire,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.toTrue1.enableFire,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.enableFire,$PRE.conVAV.modSetPoi.TZonSet.truHol.onDelay.u,$PRE.conVAV.modSetPoi.TZonSet.truHol.toOutputTrue.enableFire,$PRE.conVAV.modSetPoi.TZonSet.truHol.toInitial.enableFire,$PRE.conVAV.frePro.holSta2.onDel1.u,$PRE.conVAV.frePro.holSta2.onDel2.u,$PRE.conVAV.frePro.holSta2.toTrue.enableFire,$PRE.conVAV.frePro.holSta2.toFalse.enableFire,$PRE.conVAV.frePro.holSta2.toTrue1.enableFire,$PRE.conVAV.frePro.holSta2.toFalse1.enableFire,$PRE.conVAV.plaReq.truDel.u,$PRE.conVAV.plaReq.truDel1.u,$PRE.conVAV.plaReq.truDel2.u,$PRE.conVAV.plaReq.truDel3.u,$PRE.conVAV.plaReq.lesThr2.lesHys.y,$PRE.conVAV.plaReq.greThr5.greHys.y,$PRE.conVAV.plaReq.lesThr3.lesHys.y,conVAV.conEco.ecoHigLim.or15.y,$PRE.conVAV.conEco.enaDis.truFalHol.onDel1.t_next,$whenCondition82,$PRE.conVAV.conEco.enaDis.truFalHol.onDel2.t_next,$whenCondition79,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.onDel1.t_next,$whenCondition70,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.onDel2.t_next,$whenCondition67,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.t_next,$whenCondition64,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.t_next,$whenCondition61,$PRE.conVAV.modSetPoi.TZonSet.truHol.onDelay.t_next,$whenCondition54,$PRE.conVAV.frePro.holSta2.onDel1.t_next,$whenCondition41,$PRE.conVAV.frePro.holSta2.onDel2.t_next,$whenCondition38,$PRE.conVAV.plaReq.truDel.t_next,$whenCondition23,$PRE.conVAV.plaReq.truDel1.t_next,$whenCondition20,$PRE.conVAV.plaReq.truDel2.t_next,$whenCondition14,$PRE.conVAV.plaReq.truDel3.t_next,$whenCondition11,$whenCondition5,$whenCondition6,$whenCondition3,$whenCondition4,$whenCondition1,$whenCondition2,conVAV.conEco.enaDis.truFalHol.onDel1.t_next,conVAV.conEco.enaDis.truFalHol.onDel2.t_next,conVAV.modSetPoi.opeModSel.truFalHol.onDel1.t_next,conVAV.modSetPoi.opeModSel.truFalHol.onDel2.t_next,conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.t_next,conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.t_next,conVAV.modSetPoi.TZonSet.truHol.onDelay.t_next,conVAV.frePro.holSta2.onDel1.t_next,conVAV.frePro.holSta2.onDel2.t_next,conVAV.plaReq.truDel.t_next,conVAV.plaReq.truDel1.t_next,conVAV.plaReq.truDel2.t_next,conVAV.plaReq.truDel3.t_next,conVAV.frePro.pre.u,conVAV.frePro.tim4.passed,$PRE.conVAV.frePro.tim4.passed,conVAV.frePro.tim3.passed,$PRE.conVAV.frePro.tim3.passed,conVAV.conEco.enaDis.truFalHol.toTrue.t_start,$PRE.conVAV.conEco.enaDis.truFalHol.toTrue.t_start,conVAV.conEco.enaDis.truFalHol.toFalse.t_start,$PRE.conVAV.conEco.enaDis.truFalHol.toFalse.t_start,conVAV.conEco.enaDis.truFalHol.toTrue1.t_start,$PRE.conVAV.conEco.enaDis.truFalHol.toTrue1.t_start,conVAV.conEco.enaDis.truFalHol.toFalse1.t_start,$PRE.conVAV.conEco.enaDis.truFalHol.toFalse1.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toTrue.t_start,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.toTrue.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toFalse.t_start,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.toFalse.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toTrue1.t_start,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.toTrue1.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.t_start,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.t_start,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.t_start,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue1.t_start,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.toTrue1.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.t_start,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.t_start,conVAV.modSetPoi.TZonSet.truHol.toOutputTrue.t_start,$PRE.conVAV.modSetPoi.TZonSet.truHol.toOutputTrue.t_start,conVAV.modSetPoi.TZonSet.truHol.toInitial.t_start,$PRE.conVAV.modSetPoi.TZonSet.truHol.toInitial.t_start,conVAV.frePro.holSta2.toTrue.t_start,$PRE.conVAV.frePro.holSta2.toTrue.t_start,conVAV.frePro.holSta2.toFalse.t_start,$PRE.conVAV.frePro.holSta2.toFalse.t_start,conVAV.frePro.holSta2.toTrue1.t_start,$PRE.conVAV.frePro.holSta2.toTrue1.t_start,conVAV.frePro.holSta2.toFalse1.t_start,$PRE.conVAV.frePro.holSta2.toFalse1.t_start,conVAV.conEco.ecoHigLim.noCli.y,conVAV.conEco.ecoHigLim.not4.u,conVAV.modSetPoi.TZonSet.not1.y,conVAV.modSetPoi.TZonSet.not2.y,conVAV.conEco.ecoHigLim.and4.y,conVAV.conEco.ecoHigLim.not1.u,conVAV.conEco.ecoHigLim.and14.y,conVAV.conEco.ecoHigLim.not3.u,conVAV.modSetPoi.TZonSet.booToRea6.u,conVAV.modSetPoi.TZonSet.or7.y,conVAV.modSetPoi.TZonSet.not1.u,conVAV.modSetPoi.TZonSet.booToRea7.u,conVAV.modSetPoi.TZonSet.or8.y,conVAV.modSetPoi.TZonSet.not2.u,conVAV.frePro.holSta2.stateGraphRoot.resume,conVAV.frePro.holSta2.stateGraphRoot.suspend,conVAV.modSetPoi.TZonSet.truHol.stateGraphRoot.resume,conVAV.modSetPoi.TZonSet.truHol.stateGraphRoot.suspend,conVAV.modSetPoi.opeModSel.truFalHol1.stateGraphRoot.resume,conVAV.modSetPoi.opeModSel.truFalHol1.stateGraphRoot.suspend,conVAV.modSetPoi.opeModSel.truFalHol.stateGraphRoot.resume,conVAV.modSetPoi.opeModSel.truFalHol.stateGraphRoot.suspend,conVAV.conEco.enaDis.truFalHol.stateGraphRoot.resume,conVAV.conEco.enaDis.truFalHol.stateGraphRoot.suspend,demLimLev.y,conVAV.plaReq.zer.y,conVAV.plaReq.one.y,conVAV.plaReq.two.y,conVAV.plaReq.thr.y,conVAV.frePro.con2.y,conVAV.frePro.conInt8.y,conVAV.frePro.conInt7.y,conVAV.frePro.conInt6.y,conVAV.frePro.conInt5.y,conVAV.frePro.conInt4.y,conVAV.frePro.heaCoiMod.con1.y,conVAV.frePro.conInt2.y,conVAV.frePro.conInt1.y,conVAV.frePro.heaCoiCon1.con1.y,conVAV.cooCoiVal.conInt.y,conVAV.modSetPoi.TZonSet.conInt5.y,conVAV.modSetPoi.TZonSet.conInt4.y,conVAV.modSetPoi.TZonSet.conInt3.y,conVAV.modSetPoi.TZonSet.conInt7.y,conVAV.modSetPoi.TZonSet.conInt6.y,conVAV.modSetPoi.TZonSet.conInt8.y,conVAV.modSetPoi.TZonSet.have_occSenCon.y,conVAV.modSetPoi.TZonSet.winSenCon.y,conVAV.modSetPoi.TZonSet.heaSetAdjCon.y,conVAV.modSetPoi.TZonSet.cooSetAdjCon.y,conVAV.modSetPoi.TZonSet.conInt2.y,conVAV.modSetPoi.TZonSet.conInt1.y,conVAV.modSetPoi.TZonSet.conInt.y,conVAV.modSetPoi.opeModSel.totZon.y,conVAV.conInt.y,conVAV.outAirSetPoi.occMod.y,conVAV.conEco.ecoHigLim.fixDryBulDifDryBul.y,conVAV.conEco.ecoHigLim.ash8.y,conVAV.conEco.ecoHigLim.ash7.y,conVAV.conEco.ecoHigLim.ash6B.y,conVAV.conEco.ecoHigLim.ash6A.y,conVAV.conEco.ecoHigLim.ash5C.y,conVAV.conEco.ecoHigLim.ash5B.y,conVAV.conEco.ecoHigLim.ash5A.y,conVAV.conEco.ecoHigLim.ash4C.y,conVAV.conEco.ecoHigLim.ash4B.y,conVAV.conEco.ecoHigLim.ash4A.y,conVAV.conEco.ecoHigLim.ash3C.y,conVAV.conEco.ecoHigLim.ash3B.y,conVAV.conEco.ecoHigLim.ash3A.y,conVAV.conEco.ecoHigLim.ash2B.y,conVAV.conEco.ecoHigLim.ash2A.y,conVAV.conEco.ecoHigLim.ash1B.y,conVAV.conEco.ecoHigLim.ash1A.y,conVAV.conEco.ecoHigLim.difDryBul.y,conVAV.conEco.ecoHigLim.fixDryBul.y,conVAV.conEco.damLim.conInt1.y,conVAV.conEco.damLim.conInt.y,conVAV.conEco.enaDis.conInt1.y,conVAV.conEco.enaDis.conInt.y,conVAV.conEco.enaDis.entSubst.y,conVAV.setPoiVAV.unoMod.y,conVAV.conEco.ecoHigLim.or22.y,conVAV.conEco.ecoHigLim.or24.y,conVAV.conEco.ecoHigLim.or25.y,conVAV.conEco.ecoHigLim.or6.y,conVAV.conEco.ecoHigLim.or5.y,conVAV.conEco.ecoHigLim.and3.y,conVAV.conEco.ecoHigLim.or10.y,conVAV.conEco.ecoHigLim.or11.y,conVAV.conEco.ecoHigLim.or12.y,conVAV.conEco.ecoHigLim.or13.y,conVAV.conEco.ecoHigLim.or8.y,conVAV.conEco.ecoHigLim.or33.y,conVAV.conEco.ecoHigLim.not1.y,conVAV.conEco.ecoHigLim.and12.y,conVAV.conEco.ecoHigLim.and13.y,conVAV.conEco.ecoHigLim.not3.y,conVAV.modSetPoi.TZonSet.intEqu7.y,conVAV.modSetPoi.TZonSet.intEqu8.y,conVAV.modSetPoi.TZonSet.intEqu6.y,conVAV.modSetPoi.TZonSet.intEqu3.y,conVAV.modSetPoi.TZonSet.intEqu4.y,conVAV.modSetPoi.TZonSet.intEqu5.y,conVAV.modSetPoi.TZonSet.or4.y,conVAV.modSetPoi.TZonSet.or1.y,conVAV.conEco.ecoHigLim.or31.y,conVAV.conEco.ecoHigLim.or26.y,conVAV.conEco.ecoHigLim.or14.y,conVAV.conEco.ecoHigLim.or4.y,conVAV.modSetPoi.TZonSet.intGreThr.y,conVAV.modSetPoi.TZonSet.intGreThr1.y,conVAV.plaReq.lesThr2.lesHys.y,conVAV.plaReq.greThr5.greHys.y,conVAV.plaReq.lesThr3.lesHys.y,conVAV.modSetPoi.TZonSet.edg.u,$PRE.conVAV.modSetPoi.TZonSet.edg.u,freRes.y,$PRE.freRes.y,occSta.y,$PRE.occSta.y,winSta.y,$PRE.winSta.y,occSch.tNext,$PRE.occSch.tNext,occSch.tNonOcc,$PRE.occSch.tNonOcc,occSch.tOcc,$PRE.occSch.tOcc,occSch.occupied,$PRE.occSch.occupied,conVAV.freProMod.y,conVAV.relDam.and2.y,conVAV.relDam.greThr.greHys.y,$PRE.conVAV.relDam.greThr.greHys.y,conVAV.plaReq.lat3.y,conVAV.plaReq.hotWatRes1.y,conVAV.plaReq.lat2.y,conVAV.plaReq.hotWatRes2.y,conVAV.plaReq.truDel3.y,conVAV.plaReq.truDel3.u,conVAV.plaReq.truDel2.y,conVAV.plaReq.truDel2.u,conVAV.plaReq.greThr4.greHys.y,$PRE.conVAV.plaReq.greThr4.greHys.y,conVAV.plaReq.greThr3.greHys.y,$PRE.conVAV.plaReq.greThr3.greHys.y,conVAV.plaReq.lesThr1.lesHys.y,$PRE.conVAV.plaReq.lesThr1.lesHys.y,conVAV.plaReq.lat1.y,conVAV.plaReq.chiWatRes1.y,conVAV.plaReq.lat.y,conVAV.plaReq.lesThr.lesHys.y,$PRE.conVAV.plaReq.lesThr.lesHys.y,conVAV.plaReq.chiWatRes2.y,conVAV.plaReq.greThr2.greHys.y,$PRE.conVAV.plaReq.greThr2.greHys.y,conVAV.plaReq.truDel1.y,conVAV.plaReq.truDel1.u,conVAV.plaReq.truDel.y,conVAV.plaReq.truDel.u,conVAV.plaReq.greThr1.greHys.y,$PRE.conVAV.plaReq.greThr1.greHys.y,conVAV.plaReq.greThr.greHys.y,$PRE.conVAV.plaReq.greThr.greHys.y,conVAV.plaReq.yHotWatPlaReq,conVAV.frePro.pre.y,$PRE.conVAV.frePro.pre.u,conVAV.frePro.intSwi5.y,conVAV.frePro.intSwi4.y,conVAV.frePro.falEdg.not_u,$PRE.conVAV.frePro.falEdg.not_u,conVAV.frePro.falEdg.y,conVAV.frePro.or2.y,conVAV.frePro.endStaTwo.y,conVAV.frePro.endStaTwo.u,$PRE.conVAV.frePro.endStaTwo.u,conVAV.frePro.lat2.y,conVAV.frePro.tim5.entryTime,$PRE.conVAV.frePro.tim5.entryTime,conVAV.frePro.tim5.passed,$PRE.conVAV.frePro.tim5.passed,conVAV.frePro.lat1.y,conVAV.frePro.tim4.entryTime,$PRE.conVAV.frePro.tim4.entryTime,conVAV.frePro.lesThr2.lesHys.y,$PRE.conVAV.frePro.lesThr2.lesHys.y,conVAV.frePro.tim3.entryTime,$PRE.conVAV.frePro.tim3.entryTime,conVAV.frePro.intSwi1.y,conVAV.frePro.holSta2.toFalse1.enableFire,conVAV.frePro.holSta2.toTrue1.enableFire,conVAV.frePro.holSta2.initialStep.oldActive,$PRE.conVAV.frePro.holSta2.initialStep.oldActive,conVAV.frePro.holSta2.initialStep.newActive,$PRE.conVAV.frePro.holSta2.initialStep.newActive,conVAV.frePro.holSta2.initialStep.outPort[2].available,conVAV.frePro.holSta2.initialStep.localActive,$PRE.conVAV.frePro.holSta2.initialStep.localActive,conVAV.frePro.holSta2.initialStep.active,conVAV.frePro.holSta2.toFalse.enableFire,conVAV.frePro.holSta2.toFalse.localCondition,conVAV.frePro.holSta2.outputTrue.active,conVAV.frePro.holSta2.outputTrue.oldActive,$PRE.conVAV.frePro.holSta2.outputTrue.oldActive,conVAV.frePro.holSta2.outputTrue.newActive,$PRE.conVAV.frePro.holSta2.outputTrue.newActive,conVAV.frePro.holSta2.outputTrue.inPort[2].occupied,conVAV.frePro.holSta2.outputTrue.localActive,$PRE.conVAV.frePro.holSta2.outputTrue.localActive,conVAV.frePro.holSta2.toTrue.enableFire,conVAV.frePro.holSta2.toTrue.localCondition,conVAV.frePro.holSta2.outputFalse.active,conVAV.frePro.holSta2.outputFalse.oldActive,$PRE.conVAV.frePro.holSta2.outputFalse.oldActive,conVAV.frePro.holSta2.outputFalse.newActive,$PRE.conVAV.frePro.holSta2.outputFalse.newActive,conVAV.frePro.holSta2.outputFalse.inPort[2].occupied,conVAV.frePro.holSta2.outputFalse.localActive,$PRE.conVAV.frePro.holSta2.outputFalse.localActive,conVAV.frePro.holSta2.stateGraphRoot.activeSteps,conVAV.frePro.holSta2.onDel2.y,conVAV.frePro.holSta2.onDel2.u,conVAV.frePro.holSta2.onDel1.y,conVAV.frePro.holSta2.onDel1.u,conVAV.frePro.tim2.entryTime,$PRE.conVAV.frePro.tim2.entryTime,conVAV.frePro.tim2.passed,$PRE.conVAV.frePro.tim2.passed,conVAV.frePro.lesThr1.lesHys.y,$PRE.conVAV.frePro.lesThr1.lesHys.y,conVAV.frePro.endStaOne.y,conVAV.frePro.endStaOne.u,$PRE.conVAV.frePro.endStaOne.u,conVAV.frePro.tim1.entryTime,$PRE.conVAV.frePro.tim1.entryTime,conVAV.frePro.tim1.passed,$PRE.conVAV.frePro.tim1.passed,conVAV.frePro.lat.y,conVAV.frePro.greThr.greHys.y,$PRE.conVAV.frePro.greThr.greHys.y,conVAV.frePro.hotWatPlaReq.y,conVAV.frePro.tim.entryTime,$PRE.conVAV.frePro.tim.entryTime,conVAV.frePro.tim.passed,$PRE.conVAV.frePro.tim.passed,conVAV.frePro.lesThr.lesHys.y,$PRE.conVAV.frePro.lesThr.lesHys.y,conVAV.frePro.yHotWatPlaReq,conVAV.frePro.yFreProSta,conVAV.cooCoiVal.and2.y,conVAV.cooCoiVal.intEqu.y,conVAV.modSetPoi.booToInt.y,conVAV.modSetPoi.hotZon.y,conVAV.modSetPoi.colZon.y,conVAV.modSetPoi.zonSta.hys4.y,$PRE.conVAV.modSetPoi.zonSta.hys4.y,conVAV.modSetPoi.zonSta.hys3.y,$PRE.conVAV.modSetPoi.zonSta.hys3.y,conVAV.modSetPoi.zonSta.hys5.y,$PRE.conVAV.modSetPoi.zonSta.hys5.y,conVAV.modSetPoi.zonSta.hys2.y,$PRE.conVAV.modSetPoi.zonSta.hys2.y,conVAV.modSetPoi.zonSta.hys1.y,$PRE.conVAV.modSetPoi.zonSta.hys1.y,conVAV.modSetPoi.zonSta.hys.y,$PRE.conVAV.modSetPoi.zonSta.hys.y,conVAV.modSetPoi.zonSta.yHigUnoCoo,conVAV.modSetPoi.zonSta.yUnoHeaHig,conVAV.modSetPoi.zonSta.yHigOccCoo,conVAV.modSetPoi.zonSta.yOccHeaHig,conVAV.modSetPoi.TZonSet.and10.y,conVAV.modSetPoi.TZonSet.gre2.y,conVAV.modSetPoi.TZonSet.gre.y,conVAV.modSetPoi.TZonSet.les.y,conVAV.modSetPoi.TZonSet.not5.y,conVAV.modSetPoi.TZonSet.and11.y,conVAV.modSetPoi.TZonSet.or9.y,conVAV.modSetPoi.TZonSet.intEqu1.y,conVAV.modSetPoi.TZonSet.intEqu.y,conVAV.modSetPoi.TZonSet.or6.y,conVAV.modSetPoi.TZonSet.heaSetSam.y,$PRE.conVAV.modSetPoi.TZonSet.heaSetSam.y,conVAV.modSetPoi.TZonSet.cooSetSam.y,$PRE.conVAV.modSetPoi.TZonSet.cooSetSam.y,conVAV.modSetPoi.TZonSet.edg1.y,conVAV.modSetPoi.TZonSet.edg1.u,$PRE.conVAV.modSetPoi.TZonSet.edg1.u,conVAV.modSetPoi.TZonSet.truHol.toInitial.enableFire,conVAV.modSetPoi.TZonSet.truHol.toOutputTrue.enableFire,conVAV.modSetPoi.TZonSet.truHol.outputTrue.active,conVAV.modSetPoi.TZonSet.truHol.outputTrue.oldActive,$PRE.conVAV.modSetPoi.TZonSet.truHol.outputTrue.oldActive,conVAV.modSetPoi.TZonSet.truHol.outputTrue.newActive,$PRE.conVAV.modSetPoi.TZonSet.truHol.outputTrue.newActive,conVAV.modSetPoi.TZonSet.truHol.outputTrue.localActive,$PRE.conVAV.modSetPoi.TZonSet.truHol.outputTrue.localActive,conVAV.modSetPoi.TZonSet.truHol.initialStep.oldActive,$PRE.conVAV.modSetPoi.TZonSet.truHol.initialStep.oldActive,conVAV.modSetPoi.TZonSet.truHol.initialStep.newActive,$PRE.conVAV.modSetPoi.TZonSet.truHol.initialStep.newActive,conVAV.modSetPoi.TZonSet.truHol.initialStep.localActive,$PRE.conVAV.modSetPoi.TZonSet.truHol.initialStep.localActive,conVAV.modSetPoi.TZonSet.truHol.initialStep.active,conVAV.modSetPoi.TZonSet.truHol.onDelay.y,conVAV.modSetPoi.TZonSet.truHol.onDelay.u,conVAV.modSetPoi.TZonSet.truHol.stateGraphRoot.activeSteps,conVAV.modSetPoi.TZonSet.tim.entryTime,$PRE.conVAV.modSetPoi.TZonSet.tim.entryTime,conVAV.modSetPoi.TZonSet.tim.passed,$PRE.conVAV.modSetPoi.TZonSet.tim.passed,conVAV.modSetPoi.TZonSet.heaSetFre.y,$PRE.conVAV.modSetPoi.TZonSet.heaSetFre.y,conVAV.modSetPoi.TZonSet.or5.y,conVAV.modSetPoi.TZonSet.cooSetFre.y,$PRE.conVAV.modSetPoi.TZonSet.cooSetFre.y,conVAV.modSetPoi.TZonSet.edg.y,conVAV.modSetPoi.TZonSet.yAla,conVAV.modSetPoi.opeModSel.or10.y,conVAV.modSetPoi.opeModSel.or8.y,conVAV.modSetPoi.opeModSel.addInt7.y,conVAV.modSetPoi.opeModSel.addInt6.y,conVAV.modSetPoi.opeModSel.intGreThr1.y,conVAV.modSetPoi.opeModSel.endSetUp.y,conVAV.modSetPoi.opeModSel.endSetUp.u,$PRE.conVAV.modSetPoi.opeModSel.endSetUp.u,conVAV.modSetPoi.opeModSel.endSetBac.y,conVAV.modSetPoi.opeModSel.endSetBac.u,$PRE.conVAV.modSetPoi.opeModSel.endSetBac.u,conVAV.modSetPoi.opeModSel.or7.y,conVAV.modSetPoi.opeModSel.or2.y,conVAV.modSetPoi.opeModSel.allHot.y,conVAV.modSetPoi.opeModSel.allCol.y,conVAV.modSetPoi.opeModSel.and4.y,conVAV.modSetPoi.opeModSel.setBacMod2.y,conVAV.modSetPoi.opeModSel.setBacMod1.y,conVAV.modSetPoi.opeModSel.not1.y,conVAV.modSetPoi.opeModSel.notOcc.y,conVAV.modSetPoi.opeModSel.or5.y,conVAV.modSetPoi.opeModSel.or4.y,conVAV.modSetPoi.opeModSel.or9.y,conVAV.modSetPoi.opeModSel.or1.y,conVAV.modSetPoi.opeModSel.and1.y,conVAV.modSetPoi.opeModSel.not2.y,conVAV.modSetPoi.opeModSel.booToInt3.y,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.enableFire,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.localCondition,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue1.enableFire,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.oldActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.oldActive,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.newActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.newActive,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.outPort[2].available,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.localActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.localActive,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.active,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.enableFire,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.localCondition,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.active,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.oldActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.oldActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.newActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.newActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.inPort[2].occupied,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.localActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.localActive,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.enableFire,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.localCondition,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.active,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.oldActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.oldActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.newActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.newActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.inPort[2].occupied,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.localActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.localActive,conVAV.modSetPoi.opeModSel.truFalHol1.stateGraphRoot.activeSteps,conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.y,conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.u,conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.y,conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.u,conVAV.modSetPoi.opeModSel.edg1.u,$PRE.conVAV.modSetPoi.opeModSel.edg1.u,conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.enableFire,conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.localCondition,conVAV.modSetPoi.opeModSel.truFalHol.toTrue1.enableFire,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.oldActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.initialStep.oldActive,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.newActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.initialStep.newActive,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.outPort[2].available,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.localActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.initialStep.localActive,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.active,conVAV.modSetPoi.opeModSel.truFalHol.toFalse.enableFire,conVAV.modSetPoi.opeModSel.truFalHol.toFalse.localCondition,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.active,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.oldActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.oldActive,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.newActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.newActive,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.inPort[2].occupied,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.localActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.localActive,conVAV.modSetPoi.opeModSel.truFalHol.toTrue.enableFire,conVAV.modSetPoi.opeModSel.truFalHol.toTrue.localCondition,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.active,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.oldActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.oldActive,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.newActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.newActive,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.inPort[2].occupied,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.localActive,$PRE.conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.localActive,conVAV.modSetPoi.opeModSel.truFalHol.stateGraphRoot.activeSteps,conVAV.modSetPoi.opeModSel.truFalHol.onDel2.y,conVAV.modSetPoi.opeModSel.truFalHol.onDel2.u,conVAV.modSetPoi.opeModSel.truFalHol.onDel1.y,conVAV.modSetPoi.opeModSel.truFalHol.onDel1.u,conVAV.modSetPoi.opeModSel.edg.u,$PRE.conVAV.modSetPoi.opeModSel.edg.u,conVAV.modSetPoi.opeModSel.setUpMod.y,conVAV.modSetPoi.opeModSel.freProSetBacMod.y,conVAV.modSetPoi.opeModSel.setBacMod.y,conVAV.modSetPoi.opeModSel.occMod.y,conVAV.modSetPoi.opeModSel.addInt4.y,conVAV.modSetPoi.opeModSel.addInt3.y,conVAV.modSetPoi.opeModSel.addInt2.y,conVAV.modSetPoi.opeModSel.addInt1.y,conVAV.modSetPoi.opeModSel.addInt.y,conVAV.modSetPoi.opeModSel.intGreThr2.y,conVAV.modSetPoi.opeModSel.hys10.y,$PRE.conVAV.modSetPoi.opeModSel.hys10.y,conVAV.modSetPoi.opeModSel.hys9.y,$PRE.conVAV.modSetPoi.opeModSel.hys9.y,conVAV.modSetPoi.opeModSel.hys5.y,$PRE.conVAV.modSetPoi.opeModSel.hys5.y,conVAV.modSetPoi.opeModSel.hys4.y,$PRE.conVAV.modSetPoi.opeModSel.hys4.y,conVAV.modSetPoi.opeModSel.hys3.y,$PRE.conVAV.modSetPoi.opeModSel.hys3.y,conVAV.modSetPoi.opeModSel.hys2.y,$PRE.conVAV.modSetPoi.opeModSel.hys2.y,conVAV.modSetPoi.opeModSel.lat2.y,conVAV.modSetPoi.opeModSel.lat1.y,conVAV.modSetPoi.opeModSel.lat.y,conVAV.modSetPoi.opeModSel.intGreThr.y,conVAV.modSetPoi.yOpeMod,conVAV.switch.y,conVAV.zonSta.addInt.y,conVAV.zonSta.notHea.y,conVAV.zonSta.hysU.y,$PRE.conVAV.zonSta.hysU.y,conVAV.zonSta.isCoo.y,conVAV.zonSta.hysUCoo.y,$PRE.conVAV.zonSta.hysUCoo.y,conVAV.zonSta.hysUHea.y,$PRE.conVAV.zonSta.hysUHea.y,conVAV.zonSta.booToIntDea.y,conVAV.zonSta.isDea.y,conVAV.zonSta.booToIntCoo.y,conVAV.zonSta.booToIntHea.y,conVAV.zonSta.yZonSta,conVAV.outAirSetPoi.cooSup.greHys.y,$PRE.conVAV.outAirSetPoi.cooSup.greHys.y,conVAV.outAirSetPoi.notOccMod.y,conVAV.outAirSetPoi.or2.y,conVAV.conEco.ecoHigLim.and2.y,conVAV.conEco.damLim.noZerMin.greHys.y,$PRE.conVAV.conEco.damLim.noZerMin.greHys.y,conVAV.conEco.damLim.and1.y,conVAV.conEco.damLim.and1.u2,conVAV.conEco.damLim.intLesEqu.y,conVAV.conEco.damLim.not1.y,conVAV.conEco.enaDis.hysOutTem.y,$PRE.conVAV.conEco.enaDis.hysOutTem.y,conVAV.conEco.enaDis.truFalHol.toFalse1.enableFire,conVAV.conEco.enaDis.truFalHol.toTrue1.enableFire,conVAV.conEco.enaDis.truFalHol.initialStep.oldActive,$PRE.conVAV.conEco.enaDis.truFalHol.initialStep.oldActive,conVAV.conEco.enaDis.truFalHol.initialStep.newActive,$PRE.conVAV.conEco.enaDis.truFalHol.initialStep.newActive,conVAV.conEco.enaDis.truFalHol.initialStep.outPort[2].available,conVAV.conEco.enaDis.truFalHol.initialStep.localActive,$PRE.conVAV.conEco.enaDis.truFalHol.initialStep.localActive,conVAV.conEco.enaDis.truFalHol.initialStep.active,conVAV.conEco.enaDis.truFalHol.toFalse.enableFire,conVAV.conEco.enaDis.truFalHol.toFalse.localCondition,conVAV.conEco.enaDis.truFalHol.outputTrue.active,conVAV.conEco.enaDis.truFalHol.outputTrue.oldActive,$PRE.conVAV.conEco.enaDis.truFalHol.outputTrue.oldActive,conVAV.conEco.enaDis.truFalHol.outputTrue.newActive,$PRE.conVAV.conEco.enaDis.truFalHol.outputTrue.newActive,conVAV.conEco.enaDis.truFalHol.outputTrue.inPort[2].occupied,conVAV.conEco.enaDis.truFalHol.outputTrue.localActive,$PRE.conVAV.conEco.enaDis.truFalHol.outputTrue.localActive,conVAV.conEco.enaDis.truFalHol.toTrue.enableFire,conVAV.conEco.enaDis.truFalHol.toTrue.localCondition,conVAV.conEco.enaDis.truFalHol.outputFalse.active,conVAV.conEco.enaDis.truFalHol.outputFalse.oldActive,$PRE.conVAV.conEco.enaDis.truFalHol.outputFalse.oldActive,conVAV.conEco.enaDis.truFalHol.outputFalse.newActive,$PRE.conVAV.conEco.enaDis.truFalHol.outputFalse.newActive,conVAV.conEco.enaDis.truFalHol.outputFalse.inPort[2].occupied,conVAV.conEco.enaDis.truFalHol.outputFalse.localActive,$PRE.conVAV.conEco.enaDis.truFalHol.outputFalse.localActive,conVAV.conEco.enaDis.truFalHol.stateGraphRoot.activeSteps,conVAV.conEco.enaDis.truFalHol.onDel2.y,conVAV.conEco.enaDis.truFalHol.onDel2.u,conVAV.conEco.enaDis.truFalHol.onDel1.y,conVAV.conEco.enaDis.truFalHol.onDel1.u,conVAV.conEco.enaDis.andEnaDis.y,conVAV.conEco.enaDis.andEnaDis.u2,conVAV.conEco.enaDis.andEnaDis.u1,conVAV.conEco.enaDis.andFrePro.u2,conVAV.conEco.enaDis.andFrePro.u1,conVAV.setPoiVAV.gre.greHys.y,$PRE.conVAV.setPoiVAV.gre.greHys.y,conVAV.setPoiVAV.heaSta.greHys.y,$PRE.conVAV.setPoiVAV.heaSta.greHys.y,conVAV.setPoiVAV.y1SupFan,conVAV.yHotWatPlaReq,conVAV.yHotWatResReq,conVAV.yChiPlaReq,conVAV.yChiWatResReq,conVAV.yAla,conVAV.y1SupFan,$whenCondition7,$whenCondition8,$whenCondition9,$whenCondition10,$whenCondition13,$whenCondition12,$whenCondition16,$whenCondition15,$whenCondition17,$whenCondition18,$whenCondition19,$whenCondition22,$whenCondition21,$whenCondition25,$whenCondition24,$whenCondition26,$whenCondition27,$whenCondition29,$whenCondition28,$whenCondition30,$whenCondition31,$whenCondition34,$whenCondition33,$whenCondition32,$whenCondition37,$whenCondition36,$whenCondition35,$whenCondition40,$whenCondition39,$whenCondition43,$whenCondition42,$whenCondition44,$whenCondition47,$whenCondition46,$whenCondition45,$whenCondition48,$whenCondition49,$whenCondition52,$whenCondition51,$whenCondition50,$whenCondition53,$whenCondition56,$whenCondition55,$whenCondition59,$whenCondition58,$whenCondition57,$whenCondition60,$whenCondition63,$whenCondition62,$whenCondition66,$whenCondition65,$whenCondition69,$whenCondition68,$whenCondition72,$whenCondition71,$whenCondition73,$whenCondition74,$whenCondition75,$whenCondition76,$whenCondition77,$whenCondition78,$whenCondition81,$whenCondition80,$whenCondition84,$whenCondition83,$whenCondition85,conVAV.conEco.ecoHigLim.noAshCli.y,conVAV.conEco.ecoHigLim.not4.y,conVAV.conEco.ecoHigLim.fixEntFixDryBul.y,conVAV.conEco.ecoHigLim.difEntFixDryBul.y,conVAV.conEco.mod.uTSup.cheYMinMax.y) * Number of discrete states: 0 () * Number of clocked states: 0 () * Top-level inputs: 0 Notification: Strong component statistics for initialization (1140): * Single equations (assignments): 1136 * Array equations: 0 * Algorithm blocks: 3 * Record equations: 1 * When equations: 0 * If-equations: 0 * Equation systems (not torn): 0 * Torn equation systems: 0 * Mixed (continuous/discrete) equation systems: 0 Notification: Performance of prepare postOptimizeDAE: time 0.005028/2.628, allocations: 1.341 MB / 1.351 GB, free: 76.17 MB / 0.9982 GB Notification: Performance of postOpt lateInlineFunction (simulation): time 0.002986/2.631, allocations: 0.6472 MB / 1.351 GB, free: 75.52 MB / 0.9982 GB Notification: Performance of postOpt wrapFunctionCalls (simulation): time 0.01965/2.65, allocations: 6.96 MB / 1.358 GB, free: 68.62 MB / 0.9982 GB Notification: Performance of postOpt inlineArrayEqn (simulation): time 5.527e-05/2.65, allocations: 72 kB / 1.358 GB, free: 68.55 MB / 0.9982 GB Notification: Performance of postOpt constantLinearSystem (simulation): time 3.385e-05/2.65, allocations: 11.94 kB / 1.358 GB, free: 68.54 MB / 0.9982 GB Notification: Performance of postOpt simplifysemiLinear (simulation): time 0.000111/2.651, allocations: 43.84 kB / 1.358 GB, free: 68.5 MB / 0.9982 GB Notification: Performance of postOpt removeSimpleEquations (simulation): time 0.03979/2.69, allocations: 18.08 MB / 1.376 GB, free: 50.23 MB / 0.9982 GB Notification: Performance of postOpt simplifyComplexFunction (simulation): time 4.948e-05/2.69, allocations: 4 kB / 1.376 GB, free: 50.23 MB / 0.9982 GB Notification: Performance of postOpt solveSimpleEquations (simulation): time 0.003252/2.694, allocations: 0.7254 MB / 1.377 GB, free: 49.5 MB / 0.9982 GB Notification: Performance of postOpt tearingSystem (simulation): time 4.229e-05/2.694, allocations: 32 kB / 1.377 GB, free: 49.47 MB / 0.9982 GB Notification: Performance of postOpt inputDerivativesUsed (simulation): time 0.0009043/2.695, allocations: 187.8 kB / 1.377 GB, free: 49.29 MB / 0.9982 GB Notification: Performance of postOpt calculateStrongComponentJacobians (simulation): time 3.068e-05/2.695, allocations: 39.92 kB / 1.377 GB, free: 49.25 MB / 0.9982 GB Notification: Performance of postOpt calculateStateSetsJacobians (simulation): time 4.959e-06/2.695, allocations: 11.94 kB / 1.377 GB, free: 49.23 MB / 0.9982 GB Notification: Performance of postOpt symbolicJacobian (simulation): time 0.01852/2.713, allocations: 10.64 MB / 1.387 GB, free: 38.39 MB / 0.9982 GB Notification: Performance of postOpt removeConstants (simulation): time 0.001946/2.715, allocations: 0.7691 MB / 1.388 GB, free: 37.6 MB / 0.9982 GB Notification: Performance of postOpt simplifyTimeIndepFuncCalls (simulation): time 0.002279/2.718, allocations: 267.9 kB / 1.388 GB, free: 37.34 MB / 0.9982 GB Notification: Performance of postOpt simplifyAllExpressions (simulation): time 0.00394/2.722, allocations: 374.4 kB / 1.389 GB, free: 36.97 MB / 0.9982 GB Notification: Performance of postOpt findZeroCrossings (simulation): time 0.002131/2.724, allocations: 0.8284 MB / 1.39 GB, free: 36.14 MB / 0.9982 GB Notification: Performance of postOpt collapseArrayExpressions (simulation): time 0.0008761/2.725, allocations: 295.8 kB / 1.39 GB, free: 35.85 MB / 0.9982 GB Notification: Performance of sorting global known variables: time 0.004884/2.729, allocations: 2.763 MB / 1.393 GB, free: 33.11 MB / 0.9982 GB Notification: Performance of sort global known variables: time 3.61e-07/2.729, allocations: 0 / 1.393 GB, free: 33.11 MB / 0.9982 GB Notification: Performance of remove unused functions: time 0.01901/2.748, allocations: 3.551 MB / 1.396 GB, free: 29.55 MB / 0.9982 GB Notification: Model statistics after passing the back-end for simulation: * Number of independent subsystems: 28 * Number of states: 7 (conVAV.setPoiVAV.ramLim.y,conVAV.cooPI.I.y,conVAV.heaPI.I.y,conVAV.conEco.mod.uTSup.I.y,conVAV.cooCoiVal.conCoi.I.y,conVAV.frePro.heaCoiCon1.I.y,conVAV.frePro.heaCoiMod.I.y) * Number of discrete variables: 446 (conVAV.frePro.holSta2.toFalse1.t_start,conVAV.frePro.holSta2.toTrue1.t_start,conVAV.frePro.holSta2.toFalse.t_start,conVAV.frePro.holSta2.toTrue.t_start,conVAV.modSetPoi.TZonSet.truHol.toInitial.t_start,conVAV.modSetPoi.TZonSet.truHol.toOutputTrue.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue1.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toTrue1.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toFalse.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toTrue.t_start,conVAV.conEco.enaDis.truFalHol.toFalse1.t_start,conVAV.conEco.enaDis.truFalHol.toTrue1.t_start,conVAV.conEco.enaDis.truFalHol.toFalse.t_start,conVAV.conEco.enaDis.truFalHol.toTrue.t_start,conVAV.plaReq.truDel1.u,conVAV.plaReq.truDel.u,conVAV.plaReq.truDel3.u,conVAV.plaReq.truDel2.u,conVAV.conEco.enaDis.truFalHol.outputFalse.localActive,conVAV.conEco.enaDis.truFalHol.onDel1.u,conVAV.conEco.enaDis.truFalHol.outputFalse.active,conVAV.conEco.enaDis.truFalHol.outputTrue.localActive,conVAV.conEco.enaDis.truFalHol.onDel2.u,conVAV.conEco.enaDis.truFalHol.outputTrue.active,conVAV.conEco.enaDis.truFalHol.initialStep.localActive,conVAV.switch.y,conVAV.modSetPoi.TZonSet.and10.y,conVAV.modSetPoi.opeModSel.or2.y,conVAV.modSetPoi.opeModSel.or7.y,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.localActive,conVAV.modSetPoi.opeModSel.truFalHol.onDel1.u,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.active,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.localActive,conVAV.modSetPoi.opeModSel.truFalHol.onDel2.u,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.active,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.localActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.localActive,conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.u,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.active,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.localActive,conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.u,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.active,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.localActive,conVAV.modSetPoi.opeModSel.endSetBac.y,conVAV.modSetPoi.opeModSel.endSetUp.y,conVAV.modSetPoi.TZonSet.edg.y,conVAV.modSetPoi.TZonSet.truHol.initialStep.localActive,conVAV.modSetPoi.TZonSet.truHol.onDelay.u,conVAV.modSetPoi.TZonSet.edg1.y,conVAV.modSetPoi.TZonSet.truHol.outputTrue.localActive,conVAV.modSetPoi.TZonSet.truHol.outputTrue.active,conVAV.frePro.or2.y,conVAV.frePro.endStaOne.y,conVAV.frePro.holSta2.outputFalse.localActive,conVAV.frePro.holSta2.onDel1.u,conVAV.frePro.holSta2.outputFalse.active,conVAV.frePro.endStaTwo.y,conVAV.frePro.holSta2.outputTrue.localActive,conVAV.frePro.holSta2.onDel2.u,conVAV.frePro.holSta2.outputTrue.active,conVAV.frePro.holSta2.initialStep.localActive,conVAV.frePro.pre.y,$cse8,$cse9,$cse10,$cse11,$cse13,$cse18,$cse19,$cse20,$cse21,$cse22,$cse23,$cse24,$cse25,$cse26,$cse27,$cse28,$cse29,$cse30,$cse31,$cse32,$cse33,$cse34,$whenCondition85,$whenCondition82,$whenCondition83,$whenCondition84,$whenCondition79,$whenCondition80,$whenCondition81,$whenCondition78,$whenCondition77,$whenCondition76,$whenCondition75,$whenCondition74,$whenCondition73,$whenCondition70,$whenCondition71,$whenCondition72,$whenCondition67,$whenCondition68,$whenCondition69,$whenCondition64,$whenCondition65,$whenCondition66,$whenCondition61,$whenCondition62,$whenCondition63,$whenCondition60,$whenCondition57,$whenCondition58,$whenCondition59,$whenCondition54,$whenCondition55,$whenCondition56,$whenCondition53,$whenCondition50,$whenCondition51,$whenCondition52,$whenCondition49,$whenCondition48,$whenCondition45,$whenCondition46,$whenCondition47,$whenCondition44,$whenCondition41,$whenCondition42,$whenCondition43,$whenCondition38,$whenCondition39,$whenCondition40,$whenCondition35,$whenCondition36,$whenCondition37,$whenCondition32,$whenCondition33,$whenCondition34,$whenCondition31,$whenCondition30,$whenCondition28,$whenCondition29,$whenCondition27,$whenCondition26,$whenCondition23,$whenCondition24,$whenCondition25,$whenCondition20,$whenCondition21,$whenCondition22,$whenCondition19,$whenCondition18,$whenCondition17,$whenCondition14,$whenCondition15,$whenCondition16,$whenCondition11,$whenCondition12,$whenCondition13,$whenCondition10,$whenCondition9,$whenCondition8,$whenCondition7,$whenCondition5,$whenCondition6,$whenCondition3,$whenCondition4,$whenCondition1,$whenCondition2,conVAV.y1SupFan,conVAV.yAla,conVAV.yChiWatResReq,conVAV.yChiPlaReq,conVAV.yHotWatResReq,conVAV.yHotWatPlaReq,conVAV.setPoiVAV.y1SupFan,conVAV.setPoiVAV.heaSta.greHys.y,conVAV.setPoiVAV.gre.greHys.y,conVAV.conEco.enaDis.andFrePro.u1,conVAV.conEco.enaDis.andFrePro.u2,conVAV.conEco.enaDis.andEnaDis.u1,conVAV.conEco.enaDis.andEnaDis.u2,conVAV.conEco.enaDis.andEnaDis.y,conVAV.conEco.enaDis.truFalHol.onDel1.y,conVAV.conEco.enaDis.truFalHol.onDel1.t_next,conVAV.conEco.enaDis.truFalHol.onDel2.y,conVAV.conEco.enaDis.truFalHol.onDel2.t_next,conVAV.conEco.enaDis.truFalHol.stateGraphRoot.activeSteps,conVAV.conEco.enaDis.truFalHol.outputFalse.inPort[2].occupied,conVAV.conEco.enaDis.truFalHol.outputFalse.newActive,conVAV.conEco.enaDis.truFalHol.outputFalse.oldActive,conVAV.conEco.enaDis.truFalHol.toTrue.localCondition,conVAV.conEco.enaDis.truFalHol.toTrue.enableFire,conVAV.conEco.enaDis.truFalHol.outputTrue.inPort[2].occupied,conVAV.conEco.enaDis.truFalHol.outputTrue.newActive,conVAV.conEco.enaDis.truFalHol.outputTrue.oldActive,conVAV.conEco.enaDis.truFalHol.toFalse.localCondition,conVAV.conEco.enaDis.truFalHol.toFalse.enableFire,conVAV.conEco.enaDis.truFalHol.initialStep.active,conVAV.conEco.enaDis.truFalHol.initialStep.outPort[2].available,conVAV.conEco.enaDis.truFalHol.initialStep.newActive,conVAV.conEco.enaDis.truFalHol.initialStep.oldActive,conVAV.conEco.enaDis.truFalHol.toTrue1.enableFire,conVAV.conEco.enaDis.truFalHol.toFalse1.enableFire,conVAV.conEco.enaDis.hysOutTem.y,conVAV.conEco.damLim.not1.y,conVAV.conEco.damLim.intLesEqu.y,conVAV.conEco.damLim.and1.u2,conVAV.conEco.damLim.and1.y,conVAV.conEco.damLim.noZerMin.greHys.y,conVAV.outAirSetPoi.or2.y,conVAV.outAirSetPoi.notOccMod.y,conVAV.outAirSetPoi.cooSup.greHys.y,conVAV.zonSta.yZonSta,conVAV.zonSta.booToIntHea.y,conVAV.zonSta.booToIntCoo.y,conVAV.zonSta.isDea.y,conVAV.zonSta.booToIntDea.y,conVAV.zonSta.hysUHea.y,conVAV.zonSta.hysUCoo.y,conVAV.zonSta.isCoo.y,conVAV.zonSta.hysU.y,conVAV.zonSta.notHea.y,conVAV.zonSta.addInt.y,conVAV.modSetPoi.yOpeMod,conVAV.modSetPoi.opeModSel.intGreThr.y,conVAV.modSetPoi.opeModSel.lat.y,conVAV.modSetPoi.opeModSel.lat1.y,conVAV.modSetPoi.opeModSel.lat2.y,conVAV.modSetPoi.opeModSel.hys2.y,conVAV.modSetPoi.opeModSel.hys3.y,conVAV.modSetPoi.opeModSel.hys4.y,conVAV.modSetPoi.opeModSel.hys5.y,conVAV.modSetPoi.opeModSel.hys9.y,conVAV.modSetPoi.opeModSel.hys10.y,conVAV.modSetPoi.opeModSel.intGreThr2.y,conVAV.modSetPoi.opeModSel.addInt.y,conVAV.modSetPoi.opeModSel.addInt1.y,conVAV.modSetPoi.opeModSel.addInt2.y,conVAV.modSetPoi.opeModSel.addInt3.y,conVAV.modSetPoi.opeModSel.addInt4.y,conVAV.modSetPoi.opeModSel.occMod.y,conVAV.modSetPoi.opeModSel.setBacMod.y,conVAV.modSetPoi.opeModSel.freProSetBacMod.y,conVAV.modSetPoi.opeModSel.setUpMod.y,conVAV.modSetPoi.opeModSel.edg.u,conVAV.modSetPoi.opeModSel.truFalHol.onDel1.y,conVAV.modSetPoi.opeModSel.truFalHol.onDel1.t_next,conVAV.modSetPoi.opeModSel.truFalHol.onDel2.y,conVAV.modSetPoi.opeModSel.truFalHol.onDel2.t_next,conVAV.modSetPoi.opeModSel.truFalHol.stateGraphRoot.activeSteps,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.inPort[2].occupied,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.newActive,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.oldActive,conVAV.modSetPoi.opeModSel.truFalHol.toTrue.localCondition,conVAV.modSetPoi.opeModSel.truFalHol.toTrue.enableFire,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.inPort[2].occupied,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.newActive,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.oldActive,conVAV.modSetPoi.opeModSel.truFalHol.toFalse.localCondition,conVAV.modSetPoi.opeModSel.truFalHol.toFalse.enableFire,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.active,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.outPort[2].available,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.newActive,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.oldActive,conVAV.modSetPoi.opeModSel.truFalHol.toTrue1.enableFire,conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.localCondition,conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.enableFire,conVAV.modSetPoi.opeModSel.edg1.u,conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.y,conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.t_next,conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.y,conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.t_next,conVAV.modSetPoi.opeModSel.truFalHol1.stateGraphRoot.activeSteps,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.inPort[2].occupied,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.newActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.oldActive,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.localCondition,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.enableFire,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.inPort[2].occupied,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.newActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.oldActive,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.localCondition,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.enableFire,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.active,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.outPort[2].available,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.newActive,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.oldActive,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue1.enableFire,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.localCondition,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.enableFire,conVAV.modSetPoi.opeModSel.booToInt3.y,conVAV.modSetPoi.opeModSel.not2.y,conVAV.modSetPoi.opeModSel.and1.y,conVAV.modSetPoi.opeModSel.or1.y,conVAV.modSetPoi.opeModSel.or9.y,conVAV.modSetPoi.opeModSel.or4.y,conVAV.modSetPoi.opeModSel.or5.y,conVAV.modSetPoi.opeModSel.notOcc.y,conVAV.modSetPoi.opeModSel.not1.y,conVAV.modSetPoi.opeModSel.setBacMod1.y,conVAV.modSetPoi.opeModSel.setBacMod2.y,conVAV.modSetPoi.opeModSel.and4.y,conVAV.modSetPoi.opeModSel.allCol.y,conVAV.modSetPoi.opeModSel.allHot.y,conVAV.modSetPoi.opeModSel.endSetBac.u,conVAV.modSetPoi.opeModSel.endSetUp.u,conVAV.modSetPoi.opeModSel.intGreThr1.y,conVAV.modSetPoi.opeModSel.addInt6.y,conVAV.modSetPoi.opeModSel.addInt7.y,conVAV.modSetPoi.opeModSel.or8.y,conVAV.modSetPoi.opeModSel.or10.y,conVAV.modSetPoi.TZonSet.yAla,conVAV.modSetPoi.TZonSet.cooSetFre.y,conVAV.modSetPoi.TZonSet.heaSetFre.y,conVAV.modSetPoi.TZonSet.tim.passed,conVAV.modSetPoi.TZonSet.tim.entryTime,conVAV.modSetPoi.TZonSet.truHol.stateGraphRoot.activeSteps,conVAV.modSetPoi.TZonSet.truHol.onDelay.y,conVAV.modSetPoi.TZonSet.truHol.onDelay.t_next,conVAV.modSetPoi.TZonSet.truHol.initialStep.active,conVAV.modSetPoi.TZonSet.truHol.initialStep.newActive,conVAV.modSetPoi.TZonSet.truHol.initialStep.oldActive,conVAV.modSetPoi.TZonSet.truHol.outputTrue.newActive,conVAV.modSetPoi.TZonSet.truHol.outputTrue.oldActive,conVAV.modSetPoi.TZonSet.truHol.toOutputTrue.enableFire,conVAV.modSetPoi.TZonSet.truHol.toInitial.enableFire,conVAV.modSetPoi.TZonSet.edg1.u,conVAV.modSetPoi.TZonSet.cooSetSam.y,conVAV.modSetPoi.TZonSet.heaSetSam.y,conVAV.modSetPoi.TZonSet.or6.y,conVAV.modSetPoi.TZonSet.intEqu.y,conVAV.modSetPoi.TZonSet.intEqu1.y,conVAV.modSetPoi.TZonSet.or9.y,conVAV.modSetPoi.TZonSet.and11.y,conVAV.modSetPoi.TZonSet.not5.y,conVAV.modSetPoi.TZonSet.les.y,conVAV.modSetPoi.TZonSet.gre.y,conVAV.modSetPoi.TZonSet.gre2.y,conVAV.modSetPoi.zonSta.yOccHeaHig,conVAV.modSetPoi.zonSta.yHigOccCoo,conVAV.modSetPoi.zonSta.yUnoHeaHig,conVAV.modSetPoi.zonSta.yHigUnoCoo,conVAV.modSetPoi.zonSta.hys.y,conVAV.modSetPoi.zonSta.hys1.y,conVAV.modSetPoi.zonSta.hys2.y,conVAV.modSetPoi.zonSta.hys5.y,conVAV.modSetPoi.zonSta.hys3.y,conVAV.modSetPoi.zonSta.hys4.y,conVAV.modSetPoi.colZon.y,conVAV.modSetPoi.hotZon.y,conVAV.modSetPoi.booToInt.y,conVAV.cooCoiVal.intEqu.y,conVAV.cooCoiVal.and2.y,conVAV.frePro.yFreProSta,conVAV.frePro.yHotWatPlaReq,conVAV.frePro.lesThr.lesHys.y,conVAV.frePro.tim.passed,conVAV.frePro.tim.entryTime,conVAV.frePro.hotWatPlaReq.y,conVAV.frePro.greThr.greHys.y,conVAV.frePro.lat.y,conVAV.frePro.tim1.passed,conVAV.frePro.tim1.entryTime,conVAV.frePro.endStaOne.u,conVAV.frePro.lesThr1.lesHys.y,conVAV.frePro.tim2.passed,conVAV.frePro.tim2.entryTime,conVAV.frePro.holSta2.onDel1.y,conVAV.frePro.holSta2.onDel1.t_next,conVAV.frePro.holSta2.onDel2.y,conVAV.frePro.holSta2.onDel2.t_next,conVAV.frePro.holSta2.stateGraphRoot.activeSteps,conVAV.frePro.holSta2.outputFalse.inPort[2].occupied,conVAV.frePro.holSta2.outputFalse.newActive,conVAV.frePro.holSta2.outputFalse.oldActive,conVAV.frePro.holSta2.toTrue.localCondition,conVAV.frePro.holSta2.toTrue.enableFire,conVAV.frePro.holSta2.outputTrue.inPort[2].occupied,conVAV.frePro.holSta2.outputTrue.newActive,conVAV.frePro.holSta2.outputTrue.oldActive,conVAV.frePro.holSta2.toFalse.localCondition,conVAV.frePro.holSta2.toFalse.enableFire,conVAV.frePro.holSta2.initialStep.active,conVAV.frePro.holSta2.initialStep.outPort[2].available,conVAV.frePro.holSta2.initialStep.newActive,conVAV.frePro.holSta2.initialStep.oldActive,conVAV.frePro.holSta2.toTrue1.enableFire,conVAV.frePro.holSta2.toFalse1.enableFire,conVAV.frePro.intSwi1.y,conVAV.frePro.tim3.passed,conVAV.frePro.tim3.entryTime,conVAV.frePro.lesThr2.lesHys.y,conVAV.frePro.tim4.passed,conVAV.frePro.tim4.entryTime,conVAV.frePro.lat1.y,conVAV.frePro.tim5.passed,conVAV.frePro.tim5.entryTime,conVAV.frePro.lat2.y,conVAV.frePro.endStaTwo.u,conVAV.frePro.falEdg.y,conVAV.frePro.falEdg.not_u,conVAV.frePro.intSwi4.y,conVAV.frePro.intSwi5.y,conVAV.frePro.pre.u,conVAV.plaReq.yHotWatPlaReq,conVAV.plaReq.greThr.greHys.y,conVAV.plaReq.greThr1.greHys.y,conVAV.plaReq.truDel.y,conVAV.plaReq.truDel.t_next,conVAV.plaReq.truDel1.y,conVAV.plaReq.truDel1.t_next,conVAV.plaReq.greThr2.greHys.y,conVAV.plaReq.chiWatRes2.y,conVAV.plaReq.lesThr.lesHys.y,conVAV.plaReq.lat.y,conVAV.plaReq.chiWatRes1.y,conVAV.plaReq.lat1.y,conVAV.plaReq.lesThr1.lesHys.y,conVAV.plaReq.greThr3.greHys.y,conVAV.plaReq.greThr4.greHys.y,conVAV.plaReq.truDel2.y,conVAV.plaReq.truDel2.t_next,conVAV.plaReq.truDel3.y,conVAV.plaReq.truDel3.t_next,conVAV.plaReq.hotWatRes2.y,conVAV.plaReq.lat2.y,conVAV.plaReq.hotWatRes1.y,conVAV.plaReq.lat3.y,conVAV.relDam.greThr.greHys.y,conVAV.relDam.and2.y,conVAV.freProMod.y,occSch.occupied,occSch.tOcc,occSch.tNonOcc,occSch.tNext,winSta.y,occSta.y,freRes.y,conVAV.conEco.ecoHigLim.and2.y,conVAV.modSetPoi.TZonSet.or5.y,conVAV.conEco.ecoHigLim.or15.y) * Number of discrete states: 94 (conVAV.conEco.enaDis.truFalHol.onDel1.t_next,conVAV.conEco.enaDis.truFalHol.onDel2.t_next,conVAV.modSetPoi.opeModSel.truFalHol.onDel1.t_next,conVAV.modSetPoi.opeModSel.truFalHol.onDel2.t_next,conVAV.modSetPoi.opeModSel.truFalHol1.onDel1.t_next,conVAV.modSetPoi.opeModSel.truFalHol1.onDel2.t_next,conVAV.modSetPoi.TZonSet.truHol.onDelay.t_next,conVAV.frePro.holSta2.onDel1.t_next,conVAV.frePro.holSta2.onDel2.t_next,conVAV.plaReq.truDel.t_next,conVAV.plaReq.truDel1.t_next,conVAV.plaReq.truDel2.t_next,conVAV.plaReq.truDel3.t_next,occSch.tNext,conVAV.relDam.greThr.greHys.y,conVAV.plaReq.lesThr1.lesHys.y,conVAV.plaReq.lesThr.lesHys.y,conVAV.plaReq.greThr2.greHys.y,conVAV.frePro.pre.u,conVAV.frePro.lesThr2.lesHys.y,conVAV.frePro.tim4.entryTime,conVAV.frePro.holSta2.initialStep.newActive,conVAV.frePro.holSta2.outputTrue.newActive,conVAV.frePro.tim5.entryTime,conVAV.frePro.endStaTwo.u,conVAV.frePro.falEdg.not_u,conVAV.frePro.holSta2.outputFalse.newActive,conVAV.frePro.lesThr1.lesHys.y,conVAV.frePro.tim3.entryTime,conVAV.frePro.tim2.entryTime,conVAV.frePro.greThr.greHys.y,conVAV.frePro.tim1.entryTime,conVAV.frePro.endStaOne.u,conVAV.frePro.lesThr.lesHys.y,conVAV.frePro.tim.entryTime,conVAV.modSetPoi.zonSta.hys4.y,conVAV.modSetPoi.zonSta.hys3.y,conVAV.modSetPoi.zonSta.hys5.y,conVAV.modSetPoi.zonSta.hys2.y,conVAV.modSetPoi.zonSta.hys1.y,conVAV.modSetPoi.zonSta.hys.y,conVAV.modSetPoi.TZonSet.truHol.outputTrue.newActive,conVAV.modSetPoi.TZonSet.edg1.u,conVAV.modSetPoi.TZonSet.truHol.initialStep.newActive,conVAV.modSetPoi.opeModSel.endSetUp.u,conVAV.modSetPoi.opeModSel.endSetBac.u,conVAV.modSetPoi.opeModSel.truFalHol1.initialStep.newActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputTrue.newActive,conVAV.modSetPoi.opeModSel.truFalHol1.outputFalse.newActive,conVAV.modSetPoi.opeModSel.truFalHol.initialStep.newActive,conVAV.modSetPoi.opeModSel.truFalHol.outputTrue.newActive,conVAV.modSetPoi.opeModSel.truFalHol.outputFalse.newActive,conVAV.modSetPoi.opeModSel.hys10.y,conVAV.modSetPoi.opeModSel.hys9.y,conVAV.modSetPoi.opeModSel.hys3.y,conVAV.modSetPoi.opeModSel.hys2.y,conVAV.modSetPoi.opeModSel.hys5.y,conVAV.modSetPoi.opeModSel.edg.u,conVAV.modSetPoi.opeModSel.hys4.y,conVAV.modSetPoi.opeModSel.edg1.u,conVAV.modSetPoi.TZonSet.tim.entryTime,conVAV.outAirSetPoi.cooSup.greHys.y,conVAV.conEco.damLim.noZerMin.greHys.y,conVAV.conEco.enaDis.hysOutTem.y,conVAV.conEco.enaDis.truFalHol.initialStep.newActive,conVAV.conEco.enaDis.truFalHol.outputTrue.newActive,conVAV.conEco.enaDis.truFalHol.outputFalse.newActive,conVAV.zonSta.hysUHea.y,conVAV.zonSta.hysUCoo.y,conVAV.zonSta.hysU.y,conVAV.setPoiVAV.gre.greHys.y,conVAV.setPoiVAV.heaSta.greHys.y,conVAV.plaReq.greThr3.greHys.y,conVAV.plaReq.greThr4.greHys.y,conVAV.plaReq.greThr.greHys.y,conVAV.plaReq.greThr1.greHys.y,conVAV.conEco.enaDis.truFalHol.toTrue.t_start,conVAV.conEco.enaDis.truFalHol.toFalse.t_start,conVAV.conEco.enaDis.truFalHol.toTrue1.t_start,conVAV.conEco.enaDis.truFalHol.toFalse1.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toTrue.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toFalse.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toTrue1.t_start,conVAV.modSetPoi.opeModSel.truFalHol.toFalse1.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toTrue1.t_start,conVAV.modSetPoi.opeModSel.truFalHol1.toFalse1.t_start,conVAV.modSetPoi.TZonSet.truHol.toOutputTrue.t_start,conVAV.modSetPoi.TZonSet.truHol.toInitial.t_start,conVAV.frePro.holSta2.toTrue.t_start,conVAV.frePro.holSta2.toFalse.t_start,conVAV.frePro.holSta2.toTrue1.t_start,conVAV.frePro.holSta2.toFalse1.t_start) * Number of clocked states: 0 () * Top-level inputs: 0 Notification: Strong component statistics for simulation (736): * Single equations (assignments): 646 * Array equations: 0 * Algorithm blocks: 0 * Record equations: 0 * When equations: 90 * If-equations: 0 * Equation systems (not torn): 0 * Torn equation systems: 0 * Mixed (continuous/discrete) equation systems: 0 Notification: Performance of Backend phase and start with SimCode phase: time 0.003447/2.752, allocations: 0.8367 MB / 1.397 GB, free: 28.7 MB / 0.9982 GB Notification: Performance of simCode: created initialization part: time 0.03298/2.785, allocations: 12 MB / 1.409 GB, free: 16.61 MB / 0.9982 GB Notification: Performance of simCode: created event and clocks part: time 2.199e-05/2.785, allocations: 240 / 1.409 GB, free: 16.61 MB / 0.9982 GB Notification: Performance of simCode: created simulation system equations: time 0.01256/2.798, allocations: 4.934 MB / 1.413 GB, free: 11.69 MB / 0.9982 GB Notification: Performance of simCode: created of all other equations (e.g. parameter, nominal, assert, etc): time 0.01111/2.809, allocations: 1.336 MB / 1.415 GB, free: 10.38 MB / 0.9982 GB Notification: Performance of simCode: created linear, non-linear and system jacobian parts: time 0.04603/2.855, allocations: 17.88 MB / 1.432 GB, free: 8.371 MB / 1.014 GB Notification: Performance of simCode: some other stuff during SimCode phase: time 0.01229/2.867, allocations: 3.785 MB / 1.436 GB, free: 4.547 MB / 1.014 GB Notification: Performance of simCode: alias equations: time 0.01325/2.881, allocations: 1.169 MB / 1.437 GB, free: 3.371 MB / 1.014 GB Notification: Performance of simCode: all other stuff during SimCode phase: time 0.004093/2.885, allocations: 0.5851 MB / 1.438 GB, free: 2.785 MB / 1.014 GB Notification: Performance of SimCode: time 1.493e-06/2.885, allocations: 4 kB / 1.438 GB, free: 2.781 MB / 1.014 GB Notification: Performance of Templates: time 0.1895/3.074, allocations: 83.79 MB / 1.519 GB, free: 15.47 MB / 1.108 GB make -j1 -f Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller.makefile (rm -f Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller.pipe ; mkfifo Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller.pipe ; head -c 1048576 < Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller.pipe >> ../files/Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller.sim & ./Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller -abortSlowSimulation -alarm=480 -emit_protected -lv LOG_STATS > Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller.pipe 2>&1) diffSimulationResults("Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller_res.mat","/mnt/ReferenceFiles/Buildings/csv/master/Buildings_Controls_OBC_ASHRAE_G36_AHUs_SingleZone_VAV_Validation_Controller.csv","/var/lib/jenkins/ws/OpenModelicaLibraryTestingWork/OpenModelicaLibraryTesting/files/Buildings_latest_Buildings.Controls.OBC.ASHRAE.G36.AHUs.SingleZone.VAV.Validation.Controller.diff",relTol=0.003,relTolDiffMinMax=0.003,rangeDelta=0.001) Reference file matches