startTime=0 stopTime=10 tolerance=1e-08 numberOfIntervals=2500 stepSize=0.004 Regular simulation: ./OpenHydraulics_OpenHydraulics.DevelopmentTests.DirectionalValveTest -abortSlowSimulation -alarm=480 -lv LOG_STATS assert | warning | The following assertion has been violated at time 0.000000 | | | | valve4_3pos.A2T.dp_small >= 2000.0 and valve4_3pos.A2T.dp_small <= 1000000000.0 assert | warning | Variable violating min/max constraint: 2000.0 <= valve4_3pos.A2T.dp_small <= 1000000000.0, has value: 1 assert | warning | The following assertion has been violated at time 0.000000 | | | | valve4_3pos.P2B.dp_small >= 2000.0 and valve4_3pos.P2B.dp_small <= 1000000000.0 assert | warning | Variable violating min/max constraint: 2000.0 <= valve4_3pos.P2B.dp_small <= 1000000000.0, has value: 1 assert | warning | The following assertion has been violated at time 0.000000 | | | | valve4_3pos.B2T.dp_small >= 2000.0 and valve4_3pos.B2T.dp_small <= 1000000000.0 assert | warning | Variable violating min/max constraint: 2000.0 <= valve4_3pos.B2T.dp_small <= 1000000000.0, has value: 1 assert | warning | The following assertion has been violated at time 0.000000 | | | | valve4_3pos.P2A.dp_small >= 2000.0 and valve4_3pos.P2A.dp_small <= 1000000000.0 assert | warning | Variable violating min/max constraint: 2000.0 <= valve4_3pos.P2A.dp_small <= 1000000000.0, has value: 1 assert | warning | The following assertion has been violated at time 0.000000 | | | | restriction.dp_small >= 2000.0 and restriction.dp_small <= 1000000000.0 assert | warning | Variable violating min/max constraint: 2000.0 <= restriction.dp_small <= 1000000000.0, has value: 1 LOG_SUCCESS | info | The initialization finished successfully without homotopy method. assert | info | The following assertion has been violated at time 0.000002 assert | info | Found event, previous asserts are ignored. assert | info | The following assertion has been violated at time 1.031805 assert | info | Found event, previous asserts are ignored. assert | info | The following assertion has been violated at time 2.031805 assert | info | Found event, previous asserts are ignored. assert | info | The following assertion has been violated at time 3.031805 assert | info | Found event, previous asserts are ignored. assert | info | The following assertion has been violated at time 4.031805 assert | info | Found event, previous asserts are ignored. assert | info | The following assertion has been violated at time 5.031805 assert | info | Found event, previous asserts are ignored. assert | info | The following assertion has been violated at time 6.031805 assert | info | Found event, previous asserts are ignored. assert | info | The following assertion has been violated at time 7.031804 assert | info | Found event, previous asserts are ignored. assert | info | The following assertion has been violated at time 7.031804 assert | info | Found event, previous asserts are ignored. assert | info | The following assertion has been violated at time 7.031805 assert | info | Found event, previous asserts are ignored. assert | info | The following assertion has been violated at time 8.031805 assert | info | Found event, previous asserts are ignored. assert | info | The following assertion has been violated at time 9.031805 assert | info | Found event, previous asserts are ignored. LOG_STATS | info | ### STATISTICS ### | | | | | timer | | | | | | 0.00502195s reading init.xml | | | | | | 0.000431259s reading info.xml | | | | | | 7.5863e-05s [ 0.4%] pre-initialization | | | | | | 0.000140343s [ 0.7%] initialization | | | | | | 1.563e-06s [ 0.0%] steps | | | | | | 0.00318506s [ 16.1%] solver (excl. callbacks) | | | | | | 0s [ 0.0%] creating output-file | | | | | | 0.00305434s [ 15.5%] event-handling | | | | | | 0.00247952s [ 12.6%] overhead | | | | | | 0.0108145s [ 54.8%] simulation | | | | | | 0.0197512s [100.0%] total | | | | | events | | | | | | 12 state events | | | | | | 0 time events | | | | | solver: dassl | | | | | | 3378 steps taken | | | | | | 5576 calls of functionODE | | | | | | 489 evaluations of jacobian | | | | | | 157 error test failures | | | | | | 0 convergence test failures | | | | | | 0.000835464s time of jacobian evaluation LOG_SUCCESS | info | The simulation finished successfully.