Running: ./testmodel.py --libraries=/home/hudson/saved_omc/libraries/.openmodelica/libraries --ompython_omhome=/usr Modelica_StateGraph2_Modelica_StateGraph2.Examples.Applications.Deadlock.conf.json loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/ModelicaServices 4.0.0+maint.om/package.mo", uses=false) loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/Complex 4.0.0+maint.om/package.mo", uses=false) loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica 4.0.0+maint.om/package.mo", uses=false) loadFile("/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo", uses=false) Using package Modelica_StateGraph2 with version 2.1.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo) Using package Modelica with version 4.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica 4.0.0+maint.om/package.mo) Using package Complex with version 4.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/Complex 4.0.0+maint.om/package.mo) Using package ModelicaServices with version 4.0.0 (/home/hudson/saved_omc/libraries/.openmodelica/libraries/ModelicaServices 4.0.0+maint.om/package.mo) Running command: translateModel(Modelica_StateGraph2.Examples.Applications.Deadlock,tolerance=1e-06,outputFormat="empty",numberOfIntervals=5000,variableFilter="",fileNamePrefix="Modelica_StateGraph2_Modelica_StateGraph2.Examples.Applications.Deadlock") translateModel(Modelica_StateGraph2.Examples.Applications.Deadlock,tolerance=1e-06,outputFormat="empty",numberOfIntervals=5000,variableFilter="",fileNamePrefix="Modelica_StateGraph2_Modelica_StateGraph2.Examples.Applications.Deadlock") Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/ModelicaServices 4.0.0+maint.om/package.mo): time 0.001147/0.001152, allocations: 106.3 kB / 16.42 MB, free: 6.492 MB / 14.72 MB Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/Complex 4.0.0+maint.om/package.mo): time 0.001064/0.001064, allocations: 187.2 kB / 17.35 MB, free: 5.742 MB / 14.72 MB Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica 4.0.0+maint.om/package.mo): time 1.162/1.162, allocations: 222.9 MB / 241 MB, free: 15.14 MB / 206.1 MB Notification: Performance of loadFile(/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo): time 0.06972/0.06972, allocations: 13.56 MB / 304.8 MB, free: 1.156 MB / 238.1 MB Notification: Performance of FrontEnd - Absyn->SCode: time 1.378e-05/1.378e-05, allocations: 2.5 kB / 422.2 MB, free: 14.4 MB / 302.1 MB Notification: Performance of NFInst.instantiate(Modelica_StateGraph2.Examples.Applications.Deadlock): time 0.003024/0.003046, allocations: 3.999 MB / 426.2 MB, free: 10.37 MB / 302.1 MB Notification: Performance of NFInst.instExpressions: time 0.002608/0.005665, allocations: 2.294 MB / 428.5 MB, free: 8.066 MB / 302.1 MB Notification: Performance of NFInst.updateImplicitVariability: time 0.0005238/0.006199, allocations: 63.62 kB / 428.6 MB, free: 8.004 MB / 302.1 MB Notification: Performance of NFTyping.typeComponents: time 0.00323/0.009435, allocations: 2.937 MB / 431.5 MB, free: 5.051 MB / 302.1 MB Notification: Performance of NFTyping.typeBindings: time 0.0003905/0.009845, allocations: 154.1 kB / 431.7 MB, free: 4.898 MB / 302.1 MB [/home/hudson/saved_omc/libraries/.openmodelica/libraries/Modelica_StateGraph2 2.1.0/package.mo:12183:5-12189:3:writable] Warning: Usage of non-standard operator (not specified in the Modelica specification): Connections.uniqueRoot. Functionality might be partially supported but is not guaranteed. Notification: Performance of NFTyping.typeClassSections: time 0.001786/0.01164, allocations: 1.116 MB / 432.8 MB, free: 3.797 MB / 302.1 MB Notification: Performance of NFFlatten.flatten: time 0.001132/0.01278, allocations: 2.207 MB / 435 MB, free: 1.586 MB / 302.1 MB Notification: Performance of NFFlatten.resolveConnections: time 0.002035/0.01482, allocations: 2.877 MB / 437.9 MB, free: 14.65 MB / 318.1 MB Notification: Performance of NFEvalConstants.evaluate: time 0.0007129/0.01554, allocations: 1.016 MB / 438.9 MB, free: 13.63 MB / 318.1 MB Notification: Performance of NFSimplifyModel.simplify: time 0.0006664/0.01622, allocations: 0.9876 MB / 439.9 MB, free: 12.64 MB / 318.1 MB Notification: Performance of NFPackage.collectConstants: time 0.0002206/0.01644, allocations: 216 kB / 440.1 MB, free: 12.43 MB / 318.1 MB Notification: Performance of NFFlatten.collectFunctions: time 0.0002577/0.01671, allocations: 236 kB / 440.3 MB, free: 12.2 MB / 318.1 MB Notification: Performance of combineBinaries: time 0.001501/0.01821, allocations: 3.106 MB / 443.4 MB, free: 9.055 MB / 318.1 MB Notification: Performance of replaceArrayConstructors: time 0.0008629/0.01908, allocations: 2.062 MB / 445.5 MB, free: 6.961 MB / 318.1 MB Notification: Performance of NFVerifyModel.verify: time 0.0001983/0.01929, allocations: 266.9 kB / 445.7 MB, free: 6.699 MB / 318.1 MB Notification: Performance of FrontEnd: time 0.0002313/0.01952, allocations: 298.4 kB / 446 MB, free: 6.406 MB / 318.1 MB Notification: Model statistics after passing the front-end and creating the data structures used by the back-end: * Number of equations: 586 (522) * Number of variables: 526 (506) Notification: Performance of Bindings: time 0.006957/0.02649, allocations: 8.801 MB / 454.8 MB, free: 13.37 MB / 334.1 MB Notification: Performance of FunctionAlias: time 0.001283/0.02778, allocations: 1.733 MB / 456.6 MB, free: 11.62 MB / 334.1 MB Notification: Performance of Early Inline: time 0.004292/0.03208, allocations: 5.138 MB / 461.7 MB, free: 6.398 MB / 334.1 MB Notification: Performance of simplify1: time 0.0003126/0.0324, allocations: 391.4 kB / 462.1 MB, free: 6.016 MB / 334.1 MB Notification: Performance of Alias: time 0.006289/0.03869, allocations: 7.272 MB / 469.4 MB, free: 13.84 MB / 350.1 MB Notification: Performance of simplify2: time 0.0002158/0.03892, allocations: 283.6 kB / 469.6 MB, free: 13.57 MB / 350.1 MB Notification: Performance of Events: time 0.001588/0.04051, allocations: 1.86 MB / 471.5 MB, free: 11.68 MB / 350.1 MB Notification: Performance of Detect States: time 0.001929/0.04245, allocations: 2.483 MB / 474 MB, free: 9.148 MB / 350.1 MB Notification: Performance of Partitioning: time 0.002546/0.04501, allocations: 2.798 MB / 476.8 MB, free: 6.125 MB / 350.1 MB Error: Internal error NBSlice.fillDependencyArray failed because number of flattened indices 1 for dependency s4.outPort.node.suspend could not be divided by the body size 2 without rest. Error: Internal error NBAdjacency.Matrix.createPseudo failed for: [FOR-] (2) ($RES_SIM_260) [----] for $i1 in 1:1 loop [----] [RECD] (2) s4.outPort[$i1].node = s4.connectorNode.node ($RES_SIM_261) [----] end for; Error: Internal error NBAdjacency.Matrix.create failed to create adjacency matrix for system: System Variables (385/406) **************************** (1) [DISC] (1) Boolean release4.inPort.available (2) [DISC] (1) Boolean request1.outPort.node.resume (3) [DISC] (1) protected Boolean s1.outport_fire (4) [DISC] (1) Boolean reserved2.inPort.node.resume (5) [DISC] (1) Boolean release1.inPort.available (6) [DISC] (1) protected Boolean busy2.inport_fire (7) [DISC] (1) protected Boolean idle2.newActive (fixed = true, start = true) (8) [DISC] (1) Boolean[1] busy2.outPort.node.suspend (9) [DISC] (1) Boolean[1] requesting2.inPort.node.suspend (10) [DISC] (1) Boolean[1] requesting4.outPort.fire (11) [DISC] (1) Boolean[1] busy2.outPort.fire (12) [DISC] (1) Boolean request2.inPort.node.resume (13) [DISC] (1) Boolean requesting4.active (14) [DISC] (1) protected Boolean requesting1.newActive (fixed = true, start = false) (15) [DISC] (1) Boolean request2.outPort.node.suspend (16) [DISC] (1) Boolean s4.active (17) [DISC] (1) protected Boolean s3.connectorNode.node.resume (18) [DISC] (1) Boolean request1.inPort.node.suspend (19) [DISC] (1) Boolean[1] idle1.outPort.node.resume (20) [DISS] (1) protected Boolean idle1.oldActive (fixed = true, start = true) (21) [DISC] (1) protected Boolean s1.connectorNode.node.suspend (22) [DISC] (2) Boolean[2] free1.inPort.fire (23) [DISC] (2) Boolean[2] $SEV_32[$i1] (24) [DISC] (1) protected Boolean free2.connectorNode.node.resume (25) [DISC] (1) Boolean request1.outPort.node.suspend (26) [DISC] (2) Boolean[2] free1.outPort.node.resume (27) [DISC] (1) Boolean busy2.active (28) [DISC] (1) Boolean[1] requesting2.inPort.fire (29) [DISC] (1) Boolean start1.inPort.node.resume (30) [DISC] (1) Boolean release4.outPort.node.suspend (31) [DISC] (1) Boolean[1] requesting2.outPort.node.suspend (32) [DISC] (1) Boolean request2.inPort.node.suspend (33) [DISC] (1) Boolean[1] idle2.outPort.node.suspend (34) [DISC] (1) Boolean[1] idle2.outPort.node.resume (35) [DISC] (1) protected Boolean s1.connectorNode.node.resume (36) [DISC] (1) Boolean release3.outPort.node.suspend (37) [DISC] (1) Boolean release1.outPort.node.suspend (38) [DISC] (1) Boolean[1] s3.outPort.available (39) [DISC] (1) protected Boolean requesting3.newActive (fixed = true, start = false) (40) [DISC] (1) Boolean[1] busy1.outPort.available (41) [DISC] (1) protected Boolean free1.newActive (fixed = true, start = true) (42) [DISC] (1) Boolean[1] s2.outPort.node.resume (43) [DISC] (1) Boolean reserved2.inPort.available (44) [DISC] (1) Boolean[1] s2.outPort.fire (45) [DISC] (1) Boolean s3.active (46) [DISC] (1) Boolean release4.inPort.node.suspend (47) [DISC] (1) Boolean release1.outPort.node.resume (48) [DISS] (1) protected Boolean requesting2.oldActive (fixed = true, start = false) (49) [DISC] (1) protected Boolean requesting3.outport_fire (50) [DISC] (2) Boolean[2] free2.outPort.node.resume (51) [DISC] (1) Boolean start2.outPort.fire (52) [DISC] (1) protected Boolean s4.connectorNode.node.resume (53) [DISC] (1) Boolean release3.inPort.node.resume (54) [DISC] (1) Boolean[1] idle1.inPort.fire (55) [DISC] (1) Boolean[1] idle2.outPort.fire (56) [DISC] (1) Boolean reserved2.outPort.node.resume (57) [DISC] (1) Boolean release3.inPort.available (58) [DISC] (1) Boolean[1] requesting2.outPort.fire (59) [DISC] (1) Boolean[1] busy1.outPort.node.suspend (60) [DISC] (1) Boolean start2.outPort.node.resume (61) [DISC] (1) Boolean ready2.outPort.node.resume (62) [DISC] (1) Boolean ready1.outPort.node.suspend (63) [DISC] (1) Boolean[1] s1.outPort.node.resume (64) [DISC] (1) protected Boolean s2.newActive (fixed = true, start = false) (65) [DISC] (1) Boolean reserved1.outPort.node.resume (66) [DISC] (1) Boolean[1] s2.inPort.fire (67) [DISC] (1) Boolean release2.outPort.fire (68) [DISS] (1) protected Boolean busy1.oldActive (fixed = true, start = false) (69) [DISC] (1) Boolean[1] busy1.outPort.node.resume (70) [DISC] (2) Boolean[2] free1.outPort.fire (71) [DISC] (1) Boolean reqest3.inPort.node.suspend (72) [DISC] (1) Boolean[1] requesting4.inPort.node.resume (73) [DISC] (1) Boolean[1] s4.inPort.node.resume (74) [DISC] (1) Boolean start2.outPort.node.suspend (75) [DISC] (1) Boolean $TEV_9 (76) [DISS] (1) protected Boolean s1.oldActive (fixed = true, start = false) (77) [DISC] (1) Boolean $TEV_8 (78) [DISC] (1) Boolean $TEV_7 (79) [DISC] (1) Boolean $TEV_6 (80) [DISC] (1) Boolean release3.inPort.node.suspend (81) [DISC] (1) Boolean[1] s4.inPort.fire (82) [DISC] (1) Boolean $TEV_5 (83) [DISC] (1) Boolean $TEV_4 (84) [DISS] (1) protected Boolean requesting4.oldActive (fixed = true, start = false) (85) [DISC] (1) Boolean $TEV_3 (86) [DISC] (1) protected Boolean busy2.newActive (fixed = true, start = false) (87) [DISC] (1) Boolean reserved4.outPort.fire (88) [DISC] (1) Boolean $TEV_2 (89) [DISC] (2) Boolean[2] free2.outPort.available (90) [DISC] (1) Boolean reserved4.inPort.node.resume (91) [DISC] (1) Boolean $TEV_1 (92) [DISC] (1) Boolean reserved3.outPort.node.resume (93) [DISC] (1) Boolean $TEV_0 (94) [DISC] (1) protected Boolean s2.connectorNode.node.resume (95) [DISS] (1) protected Boolean free2.oldActive (fixed = true, start = true) (96) [DISC] (1) Boolean s2.active (97) [DISC] (1) Boolean start1.outPort.node.resume (98) [DISC] (1) protected Boolean free2.outport_fire (99) [DISC] (2) Boolean[2] free1.inPort.node.resume (100) [DISC] (1) Boolean reserved4.outPort.node.resume (101) [DISC] (1) Boolean[1] busy2.outPort.node.resume (102) [DISC] (1) Boolean[1] requesting3.inPort.fire (103) [DISC] (1) protected Boolean free1.connectorNode.node.resume (104) [DISC] (1) Boolean request1.inPort.node.resume (105) [DISC] (1) Boolean start2.inPort.available (106) [DISC] (1) protected Boolean s4.newActive (fixed = true, start = false) (107) [DISC] (1) Boolean request1.inPort.available (108) [DISC] (1) protected Boolean free2.inport_fire (109) [DISC] (1) Boolean[1] idle1.outPort.available (110) [DISC] (1) protected Boolean idle1.outport_fire (111) [DISC] (1) Boolean ready2.outPort.node.suspend (112) [DISC] (1) Boolean ready2.inPort.available (113) [DISC] (1) protected Boolean requesting1.outport_fire (114) [DISC] (1) Boolean ready1.outPort.node.resume (115) [DISC] (1) Boolean[1] idle2.inPort.node.resume (116) [DISS] (1) protected Boolean s3.oldActive (fixed = true, start = false) (117) [DISC] (1) Boolean reserved4.outPort.node.suspend (118) [DISC] (2) Boolean[2] free2.outPort.node.suspend (119) [DISC] (1) Boolean request4.inPort.node.suspend (120) [DISC] (1) Boolean reserved2.outPort.node.suspend (121) [DISC] (1) Boolean reserved4.inPort.available (122) [DISC] (1) Boolean[1] s3.outPort.fire (123) [DISC] (1) protected Boolean busy1.connectorNode.node.resume (124) [DISC] (1) protected Boolean s3.connectorNode.node.suspend (125) [DISC] (1) Boolean reserved1.outPort.node.suspend (126) [DISC] (1) Boolean[1] requesting2.outPort.available (127) [DISC] (1) Boolean[1] idle2.inPort.fire (128) [DISC] (1) Boolean s1.active (129) [DISC] (1) Boolean[1] busy1.inPort.fire (130) [DISC] (1) Boolean release4.outPort.fire (131) [DISC] (1) Boolean release3.conditionPort (132) [DISC] (1) Boolean[1] s4.inPort.node.suspend (133) [DISC] (1) protected Boolean s4.outport_fire (134) [DISC] (1) protected Boolean busy2.connectorNode.node.suspend (135) [DISC] (1) protected Boolean s1.inport_fire (136) [DISC] (1) Boolean request2.outPort.node.resume (137) [DISC] (1) Boolean free1.active (138) [DISC] (1) protected Boolean free1.connectorNode.node.suspend (139) [DISC] (1) Boolean[1] s3.inPort.fire (140) [DISC] (1) Boolean[1] busy1.outPort.fire (141) [DISC] (1) Boolean ready1.inPort.available (142) [DISC] (1) Boolean[1] s3.outPort.node.suspend (143) [DISC] (1) Boolean reserved2.outPort.fire (144) [DISC] (1) Boolean[1] requesting1.inPort.node.resume (145) [DISC] (1) protected Boolean requesting1.inport_fire (146) [DISC] (1) protected Boolean idle1.newActive (fixed = true, start = true) (147) [DISC] (1) Boolean[1] requesting3.inPort.node.resume (148) [DISC] (1) Boolean request1.outPort.fire (149) [DISC] (1) Boolean[1] requesting4.inPort.node.suspend (150) [DISC] (1) protected Boolean busy2.outport_fire (151) [DISC] (1) Boolean[1] requesting4.inPort.fire (152) [DISC] (1) Boolean reserved3.outPort.node.suspend (153) [DISC] (1) Boolean $SEV_9 (154) [DISC] (1) Boolean reqest3.inPort.available (155) [DISC] (1) Boolean $SEV_8 (156) [DISC] (1) Boolean $SEV_7 (157) [DISC] (1) Boolean $SEV_5 (158) [DISC] (1) Boolean $SEV_4 (159) [DISC] (1) Boolean $SEV_3 (160) [DISC] (1) Boolean $SEV_1 (161) [DISC] (1) Boolean $SEV_0 (162) [DISC] (1) Boolean ready2.inPort.node.suspend (163) [DISC] (1) Boolean release2.inPort.node.resume (164) [DISC] (1) Boolean[1] s1.inPort.node.suspend (165) [DISC] (1) Boolean[1] busy2.inPort.node.resume (166) [DISS] (1) protected Real ready2.t_start (167) [DISC] (1) protected Boolean free1.inport_fire (168) [DISS] (1) protected Real ready1.t_start (169) [DISC] (1) Boolean[1] requesting1.inPort.node.suspend (170) [DISC] (1) Boolean free2.active (171) [DISC] (1) Boolean ready1.outPort.fire (172) [DISC] (1) Boolean release4.inPort.node.resume (173) [DISC] (1) protected Boolean idle2.connectorNode.node.suspend (174) [DISC] (1) Boolean[1] s1.outPort.fire (175) [DISC] (1) Boolean ready1.inPort.node.resume (176) [DISC] (1) Boolean[1] busy2.inPort.fire (177) [DISC] (1) Boolean[1] requesting4.outPort.node.resume (178) [DISC] (1) protected Boolean requesting4.outport_fire (179) [DISC] (1) protected Boolean requesting4.connectorNode.node.suspend (180) [DISC] (1) Boolean release2.inPort.available (181) [DISC] (1) Boolean start1.inPort.node.suspend (182) [DISC] (1) protected Boolean requesting2.newActive (fixed = true, start = false) (183) [DISC] (1) Boolean ready1.inPort.node.suspend (184) [DISC] (1) Boolean reqest3.outPort.node.suspend (185) [DISC] (1) Boolean reserved4.inPort.node.suspend (186) [DISC] (1) protected Boolean s2.outport_fire (187) [DISC] (1) Boolean release2.outPort.node.resume (188) [DISC] (1) Boolean[1] s3.inPort.node.resume (189) [DISS] (1) protected Boolean idle2.oldActive (fixed = true, start = true) (190) [DISC] (1) protected Boolean busy2.connectorNode.node.resume (191) [DISC] (1) Boolean start1.outPort.node.suspend (192) [DISC] (1) protected Boolean requesting2.connectorNode.node.resume (193) [DISC] (1) Boolean[1] requesting3.outPort.fire (194) [DISS] (1) protected Boolean requesting1.oldActive (fixed = true, start = false) (195) [DISC] (1) protected Boolean requesting1.connectorNode.node.suspend (196) [DISC] (1) Boolean $SEV_52 (197) [DISC] (1) Boolean[1] requesting3.outPort.available (198) [DISC] (1) Boolean reserved3.inPort.node.resume (199) [DISC] (1) Boolean[1] busy2.inPort.node.suspend (200) [DISC] (1) Boolean[1] requesting4.outPort.node.suspend (201) [DISC] (1) protected Boolean idle2.connectorNode.node.resume (202) [DISC] (2) Boolean[2] free2.inPort.node.resume (203) [DISC] (1) Boolean reserved1.inPort.available (204) [DISC] (1) Boolean release1.outPort.fire (205) [DISC] (1) Boolean[1] requesting3.outPort.node.resume (206) [DISC] (1) Boolean request4.inPort.available (207) [DISC] (1) Boolean[1] requesting1.outPort.node.suspend (208) [DISC] (1) protected Boolean requesting2.inport_fire (209) [DISC] (1) protected Boolean s2.connectorNode.node.suspend (210) [DISC] (1) Boolean reserved3.inPort.node.suspend (211) [DISC] (1) Boolean release2.conditionPort (212) [DISC] (1) Boolean[1] s2.outPort.node.suspend (213) [DISC] (1) Boolean requesting1.active (214) [DISC] (1) protected Boolean s1.newActive (fixed = true, start = false) (215) [DISC] (1) Boolean[1] s2.inPort.node.resume (216) [DISC] (1) Boolean[1] s1.inPort.node.resume (217) [DISC] (1) Boolean reserved1.outPort.fire (218) [DISC] (1) Boolean $SEV_48 (219) [DISC] (1) Boolean $SEV_47 (220) [DISC] (1) Boolean $SEV_46 (221) [DISC] (1) Boolean $SEV_45 (222) [DISC] (1) protected Boolean requesting4.newActive (fixed = true, start = false) (223) [DISC] (1) Boolean $SEV_44 (224) [DISS] (1) protected Boolean busy2.oldActive (fixed = true, start = false) (225) [DISC] (1) Boolean $SEV_43 (226) [DISC] (1) Boolean $SEV_42 (227) [DISC] (1) Boolean $SEV_41 (228) [DISC] (1) protected Boolean free2.newActive (fixed = true, start = true) (229) [DISC] (1) Boolean $SEV_40 (230) [DISC] (1) protected Boolean free1.outport_fire (231) [DISC] (1) Boolean[1] s1.outPort.available (232) [DISC] (1) protected Boolean requesting3.connectorNode.node.suspend (233) [DISC] (1) Boolean release2.inPort.node.suspend (234) [DISC] (1) Boolean reserved1.inPort.node.resume (235) [DISC] (1) Boolean request4.outPort.fire (236) [DISC] (1) Boolean request4.outPort.node.suspend (237) [DISC] (1) Boolean ready2.enableFire (238) [DISC] (1) protected Boolean free2.connectorNode.node.suspend (239) [DISC] (1) Boolean start1.enableFire (240) [DISC] (1) Boolean[1] s3.outPort.node.resume (241) [DISC] (2) Boolean[2] $SEV_51[$i1] (242) [DISC] (1) Boolean[1] s4.outPort.node.resume (243) [DISS] (1) protected Boolean requesting3.oldActive (fixed = true, start = false) (244) [DISC] (1) Boolean[1] s4.outPort.available (245) [DISS] (1) protected Boolean free1.oldActive (fixed = true, start = true) (246) [DISC] (1) protected Boolean s4.connectorNode.node.suspend (247) [DISC] (1) Boolean $SEV_39 (248) [DISC] (1) Boolean $SEV_38 (249) [DISC] (1) Boolean $SEV_37 (250) [DISC] (1) Boolean $SEV_36 (251) [DISC] (1) Boolean[1] idle1.inPort.node.suspend (252) [DISC] (2) Boolean[2] free1.outPort.node.suspend (253) [DISC] (1) Boolean start1.inPort.available (254) [DISC] (1) protected Boolean idle1.inport_fire (255) [DISC] (1) protected Boolean idle2.outport_fire (256) [DISC] (1) Boolean start2.inPort.node.resume (257) [DISC] (1) Boolean $SEV_31 (258) [DISC] (1) Boolean $SEV_30 (259) [DISC] (1) Boolean[1] busy1.inPort.node.resume (260) [DISC] (1) protected Boolean requesting2.outport_fire (261) [DISC] (1) Boolean[1] requesting3.outPort.node.suspend (262) [DISC] (1) Boolean start1.outPort.fire (263) [DISC] (2) Boolean[2] free2.inPort.node.suspend (264) [DISC] (1) Boolean release1.inPort.node.suspend (265) [DISC] (1) protected Boolean busy1.connectorNode.node.suspend (266) [DISC] (1) protected Boolean s3.newActive (fixed = true, start = false) (267) [DISC] (1) Boolean[1] requesting4.outPort.available (268) [DISC] (1) Boolean ready2.outPort.fire (269) [DISC] (2) Boolean[2] $SEV_49[$i1] (270) [DISC] (1) protected Boolean s2.inport_fire (271) [DISC] (1) Boolean[1] s4.outPort.node.suspend (272) [DISC] (1) protected Boolean requesting4.connectorNode.node.resume (273) [DISC] (1) Boolean[1] idle1.outPort.fire (274) [DISC] (1) Boolean ready2.inPort.node.resume (275) [DISC] (1) Boolean requesting2.active (276) [DISC] (2) Boolean[2] free1.inPort.node.suspend (277) [DISC] (2) Boolean[2] $SEV_50[$i1] (278) [DISC] (1) Boolean[1] requesting1.outPort.fire (279) [DISC] (1) Boolean[1] requesting1.outPort.available (280) [DISC] (1) Boolean[1] s1.outPort.node.suspend (281) [DISC] (1) Boolean $SEV_29 (282) [DISC] (1) Boolean $SEV_28 (283) [DISC] (1) Boolean $SEV_27 (284) [DISC] (1) Boolean $SEV_26 (285) [DISS] (1) protected Boolean s2.oldActive (fixed = true, start = false) (286) [DISC] (1) Boolean $SEV_25 (287) [DISC] (1) Boolean $SEV_24 (288) [DISC] (1) Boolean $SEV_23 (289) [DISC] (1) Boolean $SEV_22 (290) [DISC] (1) protected Boolean s4.inport_fire (291) [DISC] (1) Boolean[1] idle2.inPort.node.suspend (292) [DISC] (1) Boolean $SEV_21 (293) [DISC] (1) protected Boolean busy1.newActive (fixed = true, start = false) (294) [DISC] (1) Boolean ready1.enableFire (295) [DISC] (1) Boolean release3.outPort.fire (296) [DISC] (1) Boolean[1] requesting2.inPort.node.resume (297) [DISC] (1) protected Boolean s3.outport_fire (298) [DISC] (1) Boolean start2.enableFire (299) [DISC] (1) Boolean reserved1.inPort.node.suspend (300) [DISC] (2) Boolean[2] $SEV_35[$i1] (301) [DISC] (1) Boolean $TEV_33 (302) [DISC] (2) Boolean[2] free2.outPort.fire (303) [DISC] (1) Boolean $TEV_32 (304) [DISC] (1) Boolean $TEV_31 (305) [DISC] (1) Boolean $TEV_30 (306) [DISC] (1) protected Boolean idle1.connectorNode.node.suspend (307) [DISC] (1) Boolean[1] requesting1.outPort.node.resume (308) [DISC] (1) Boolean start2.inPort.node.suspend (309) [DISC] (1) protected Boolean requesting1.connectorNode.node.resume (310) [DISC] (1) protected Boolean busy1.inport_fire (311) [DISC] (1) protected Boolean requesting3.inport_fire (312) [DISC] (1) Boolean reserved3.outPort.fire (313) [DISC] (1) Boolean idle1.active (314) [DISC] (1) Boolean $SEV_19 (315) [DISC] (1) Boolean $SEV_18 (316) [DISC] (2) Boolean[2] free1.outPort.available (317) [DISC] (1) Boolean $SEV_17 (318) [DISC] (1) protected Boolean idle1.connectorNode.node.resume (319) [DISC] (1) Boolean $SEV_15 (320) [DISC] (1) Boolean release1.inPort.node.resume (321) [DISS] (1) protected Real start1.t_start (322) [DISC] (1) Boolean $SEV_14 (323) [DISC] (1) Boolean $SEV_13 (324) [DISC] (1) Boolean request2.inPort.available (325) [DISC] (1) Boolean $SEV_12 (326) [DISC] (2) Boolean[2] free2.inPort.fire (327) [DISC] (1) Boolean $SEV_11 (328) [DISC] (1) Boolean request2.outPort.fire (329) [DISC] (1) Boolean $SEV_10 (330) [DISS] (1) protected Real start2.t_start (331) [DISC] (1) Boolean $TEV_29 (332) [DISC] (1) Boolean $TEV_28 (333) [DISC] (1) Boolean $TEV_27 (334) [DISC] (1) Boolean request4.inPort.node.resume (335) [DISC] (1) Boolean $TEV_26 (336) [DISC] (1) Boolean release4.outPort.node.resume (337) [DISC] (1) Boolean $TEV_25 (338) [DISC] (1) protected Boolean busy1.outport_fire (339) [DISC] (1) Boolean $TEV_24 (340) [DISC] (1) Boolean $TEV_23 (341) [DISC] (1) Boolean[1] s2.outPort.available (342) [DISC] (1) Boolean reqest3.inPort.node.resume (343) [DISC] (1) Boolean $TEV_22 (344) [DISC] (1) Boolean $TEV_21 (345) [DISC] (1) Boolean reserved2.inPort.node.suspend (346) [DISC] (1) Boolean $TEV_20 (347) [DISC] (1) Boolean[1] busy2.outPort.available (348) [DISS] (1) protected Boolean s4.oldActive (fixed = true, start = false) (349) [DISC] (1) Boolean[1] requesting1.inPort.fire (350) [DISC] (1) Boolean[1] s2.inPort.node.suspend (351) [DISC] (1) Boolean[1] requesting2.outPort.node.resume (352) [DISC] (2) Boolean[2] $SEV_34[$i1] (353) [DISC] (1) Boolean requesting3.active (354) [DISC] (1) Boolean request4.outPort.node.resume (355) [DISC] (1) Boolean[1] idle2.outPort.available (356) [DISC] (1) Boolean[1] busy1.inPort.node.suspend (357) [DISC] (1) protected Boolean requesting4.inport_fire (358) [DISC] (1) Boolean reqest3.outPort.node.resume (359) [DISC] (1) Boolean reserved3.inPort.available (360) [DISC] (1) Boolean $TEV_19 (361) [DISC] (1) Boolean $TEV_18 (362) [DISC] (1) protected Boolean idle2.inport_fire (363) [DISC] (1) Boolean $TEV_17 (364) [DISC] (1) Boolean $TEV_16 (365) [DISC] (1) Boolean[1] requesting3.inPort.node.suspend (366) [DISC] (1) Boolean $TEV_15 (367) [DISC] (1) Boolean $TEV_14 (368) [DISC] (1) Boolean $TEV_13 (369) [DISC] (1) Boolean $TEV_12 (370) [DISC] (1) Boolean release3.outPort.node.resume (371) [DISC] (1) Boolean $TEV_11 (372) [DISC] (1) Boolean $TEV_10 (373) [DISC] (1) Boolean[1] s4.outPort.fire (374) [DISC] (1) Boolean[1] s1.inPort.fire (375) [DISC] (1) protected Boolean requesting3.connectorNode.node.resume (376) [DISC] (1) Boolean reqest3.outPort.fire (377) [DISC] (1) protected Boolean requesting2.connectorNode.node.suspend (378) [DISC] (1) protected Boolean s3.inport_fire (379) [DISC] (1) Boolean[1] s3.inPort.node.suspend (380) [DISC] (1) Boolean busy1.active (381) [DISC] (1) Boolean idle2.active (382) [DISC] (1) Boolean[1] idle1.outPort.node.suspend (383) [DISC] (2) Boolean[2] $SEV_33[$i1] (384) [DISC] (1) Boolean[1] idle1.inPort.node.resume (385) [DISC] (1) Boolean release2.outPort.node.suspend System Equations (385/450) **************************** (1) [SCAL] (1) request1.outPort.fire = $SEV_46 ($RES_SIM_429) (2) [SCAL] (1) s4.inPort[1].fire = true ($RES_SIM_254) (3) [SCAL] (1) busy2.outPort[1].node.resume = ready2.inPort.node.resume ($RES_SIM_511) (4) [SCAL] (1) busy2.outPort[1].node.suspend = ready2.inPort.node.suspend ($RES_SIM_512) (5) [SCAL] (1) busy2.outPort[1].fire = ready2.outPort.fire ($RES_SIM_513) (6) [FOR-] (2) ($RES_EVT_810) (6) [----] for $i1 in 1:2 loop (6) [----] [SCAL] (1) $SEV_35[$i1] = $SEV_34[$i1] and not free2.connectorNode.node.suspend ($RES_EVT_811) (6) [----] end for; (7) [SCAL] (1) busy2.outPort[1].available = ready2.inPort.available ($RES_SIM_515) (8) [RECD] (2) release2.outPort.node = release2.inPort.node ($RES_SIM_340) (9) [SCAL] (1) reserved4.outPort.node.resume = busy2.inPort[1].node.resume ($RES_SIM_516) (10) [SCAL] (1) $SEV_36 = free2.inport_fire or (free2.active and not free2.outport_fire) and not free2.connectorNode.node.suspend ($RES_EVT_812) (11) [SCAL] (1) reserved4.outPort.node.suspend = busy2.inPort[1].node.suspend ($RES_SIM_517) (12) [SCAL] (1) $SEV_37 = release3.conditionPort and release1.inPort.available ($RES_EVT_813) (13) [SCAL] (1) reserved4.outPort.fire = busy2.inPort[1].fire ($RES_SIM_518) (14) [SCAL] (1) $SEV_38 = release2.conditionPort and release2.inPort.available ($RES_EVT_814) (15) [SCAL] (1) $SEV_39 = requesting4.active and request2.inPort.available ($RES_EVT_815) (16) [WHEN] (1)when idle1.connectorNode.node.suspend then (16) [----] idle1.oldActive := idle1.active (16) [----] end when; (17) [SCAL] (1) $SEV_40 = s2.active and not s2.connectorNode.node.suspend ($RES_EVT_816) (18) [SCAL] (1) release2.outPort.fire = $SEV_38 ($RES_SIM_346) (19) [SCAL] (1) idle1.active = $TEV_24 ($RES_SIM_171) (20) [SCAL] (1) $SEV_41 = s2.inport_fire or (s2.active and not s2.outport_fire) and not s2.connectorNode.node.suspend ($RES_EVT_817) (21) [RECD] (2) request2.outPort.node = request2.inPort.node ($RES_SIM_347) (22) [SCAL] (1) idle1.newActive = if idle1.connectorNode.node.resume then idle1.oldActive else $SEV_15 ($RES_SIM_172) (23) [SCAL] (1) $SEV_42 = requesting3.active and not requesting3.connectorNode.node.suspend ($RES_EVT_818) (24) [SCAL] (1) $SEV_43 = requesting3.inport_fire or (requesting3.active and not requesting3.outport_fire) and not requesting3.connectorNode.node.suspend ($RES_EVT_819) (25) [SCAL] (1) release3.inPort.available = s3.outPort[1].available ($RES_SIM_600) (26) [SCAL] (1) reqest3.outPort.node.resume = s3.inPort[1].node.resume ($RES_SIM_601) (27) [SCAL] (1) reqest3.outPort.node.suspend = s3.inPort[1].node.suspend ($RES_SIM_602) (28) [RECD] (2) ready1.outPort.node = ready1.inPort.node ($RES_SIM_176) (29) [SCAL] (1) reqest3.outPort.fire = s3.inPort[1].fire ($RES_SIM_603) (30) [SCAL] (1) s1.outPort[1].fire = true ($RES_SIM_430) (31) [SCAL] (1) request4.inPort.node.resume = free2.outPort[2].node.resume ($RES_SIM_606) (32) [SCAL] (1) request4.inPort.node.suspend = free2.outPort[2].node.suspend ($RES_SIM_607) (33) [SCAL] (1) request4.outPort.fire = free2.outPort[2].fire ($RES_SIM_608) (34) [SCAL] (1) s1.inPort[1].fire = true ($RES_SIM_433) (35) [FOR-] (2) ($RES_SIM_260) (35) [----] for $i1 in 1:1 loop (35) [----] [RECD] (2) s4.outPort[$i1].node = s4.connectorNode.node ($RES_SIM_261) (35) [----] end for; (36) [FOR-] (2) ($RES_SIM_439) (36) [----] for $i1 in 1:1 loop (36) [----] [RECD] (2) s1.outPort[$i1].node = s1.connectorNode.node ($RES_SIM_440) (36) [----] end for; (37) [FOR-] (2) ($RES_SIM_264) (37) [----] for $i1 in 1:1 loop (37) [----] [RECD] (2) s4.inPort[$i1].node = s4.connectorNode.node ($RES_SIM_265) (37) [----] end for; (38) [SCAL] (1) $TEV_0 = $PRE.ready2.t_start ($RES_EVT_738) (39) [SCAL] (1) s4.outPort[1].available = $SEV_27 ($RES_SIM_268) (40) [SCAL] (1) $TEV_1 = $PRE.ready2.enableFire ($RES_EVT_739) (41) [WHEN] (1)when s4.connectorNode.node.suspend then (41) [----] s4.oldActive := s4.active (41) [----] end when; (42) [SCAL] (1) requesting4.outPort[1].node.resume = reserved4.inPort.node.resume ($RES_SIM_521) (43) [SCAL] (1) requesting4.outPort[1].node.suspend = reserved4.inPort.node.suspend ($RES_SIM_522) (44) [SCAL] (1) requesting4.outPort[1].fire = reserved4.outPort.fire ($RES_SIM_523) (45) [SCAL] (1) $SEV_44 = requesting1.active and not requesting1.connectorNode.node.suspend ($RES_EVT_820) (46) [SCAL] (1) requesting4.outPort[1].available = reserved4.inPort.available ($RES_SIM_525) (47) [SCAL] (1) $SEV_45 = requesting1.inport_fire or (requesting1.active and not requesting1.outport_fire) and not requesting1.connectorNode.node.suspend ($RES_EVT_821) (48) [SCAL] (1) reserved2.outPort.node.resume = requesting4.inPort[1].node.resume ($RES_SIM_526) (49) [SCAL] (1) $SEV_46 = requesting1.active and request1.inPort.available ($RES_EVT_822) (50) [SCAL] (1) reserved2.outPort.node.suspend = requesting4.inPort[1].node.suspend ($RES_SIM_527) (51) [SCAL] (1) $SEV_47 = s1.active and not s1.connectorNode.node.suspend ($RES_EVT_823) (52) [SCAL] (1) reserved2.outPort.fire = requesting4.inPort[1].fire ($RES_SIM_528) (53) [SCAL] (1) request2.outPort.fire = $SEV_39 ($RES_SIM_353) (54) [SCAL] (1) $SEV_48 = s1.inport_fire or (s1.active and not s1.outport_fire) and not s1.connectorNode.node.suspend ($RES_EVT_824) (55) [SCAL] (1) s2.outPort[1].fire = true ($RES_SIM_354) (56) [FOR-] (2) ($RES_EVT_825) (56) [----] for $i1 in 1:2 loop (56) [----] [SCAL] (1) $SEV_49[$i1] = free1.active and not free1.connectorNode.node.suspend ($RES_EVT_826) (56) [----] end for; (57) [SCAL] (1) ready1.outPort.fire = $SEV_17 ($RES_SIM_180) (58) [WHEN] (1)when ready1.enableFire then (58) [----] ready1.t_start := time (58) [----] end when; (59) [FOR-] (2) ($RES_EVT_827) (59) [----] for $i1 in 1:2 loop (59) [----] [SCAL] (1) $SEV_50[$i1] = free1.outPort[$i1 - 1].available and not free1.outPort[$i1 - 1].fire ($RES_EVT_828) (59) [----] end for; (60) [SCAL] (1) s2.inPort[1].fire = true ($RES_SIM_357) (61) [SCAL] (1) ready1.enableFire = ready1.inPort.available ($RES_SIM_182) (62) [FOR-] (2) ($RES_EVT_829) (62) [----] for $i1 in 1:2 loop (62) [----] [SCAL] (1) $SEV_51[$i1] = $SEV_50[$i1] and not free1.connectorNode.node.suspend ($RES_EVT_830) (62) [----] end for; (63) [RECD] (2) reserved3.outPort.node = reserved3.inPort.node ($RES_SIM_184) (64) [SCAL] (1) request4.inPort.available = free2.outPort[2].available ($RES_SIM_610) (65) [SCAL] (1) reqest3.inPort.node.resume = free2.outPort[1].node.resume ($RES_SIM_611) (66) [SCAL] (1) reqest3.inPort.node.suspend = free2.outPort[1].node.suspend ($RES_SIM_612) (67) [SCAL] (1) reqest3.outPort.fire = free2.outPort[1].fire ($RES_SIM_613) (68) [SCAL] (1) reqest3.inPort.available = free2.outPort[1].available ($RES_SIM_615) (69) [SCAL] (1) release2.outPort.node.resume = free1.inPort[2].node.resume ($RES_SIM_616) (70) [SCAL] (1) release2.outPort.node.suspend = free1.inPort[2].node.suspend ($RES_SIM_617) (71) [FOR-] (2) ($RES_SIM_443) (71) [----] for $i1 in 1:1 loop (71) [----] [RECD] (2) s1.inPort[$i1].node = s1.connectorNode.node ($RES_SIM_444) (71) [----] end for; (72) [SCAL] (1) release2.outPort.fire = free1.inPort[2].fire ($RES_SIM_618) (73) [SCAL] (1) $TEV_2 = $PRE.reserved4.outPort.fire ($RES_EVT_740) (74) [SCAL] (1) s4.active = $TEV_26 ($RES_SIM_270) (75) [SCAL] (1) $TEV_3 = $PRE.reserved2.outPort.fire ($RES_EVT_741) (76) [SCAL] (1) s4.newActive = if s4.connectorNode.node.resume then s4.oldActive else $SEV_28 ($RES_SIM_271) (77) [SCAL] (1) $TEV_4 = $PRE.start2.t_start ($RES_EVT_742) (78) [SCAL] (1) s1.outPort[1].available = $SEV_47 ($RES_SIM_447) (79) [SCAL] (1) $TEV_5 = $PRE.start2.enableFire ($RES_EVT_743) (80) [WHEN] (1)when s1.connectorNode.node.suspend then (80) [----] s1.oldActive := s1.active (80) [----] end when; (81) [SCAL] (1) $TEV_6 = $PRE.ready1.t_start ($RES_EVT_744) (82) [RECD] (2) reqest3.outPort.node = reqest3.inPort.node ($RES_SIM_274) (83) [SCAL] (1) s1.active = $TEV_32 ($RES_SIM_449) (84) [SCAL] (1) $TEV_7 = $PRE.ready1.enableFire ($RES_EVT_745) (85) [SCAL] (1) $TEV_8 = $PRE.reserved3.outPort.fire ($RES_EVT_746) (86) [SCAL] (1) $TEV_9 = $PRE.reserved1.outPort.fire ($RES_EVT_747) (87) [SCAL] (1) $TEV_10 = $PRE.start1.t_start ($RES_EVT_748) (88) [SCAL] (1) $TEV_11 = $PRE.start1.enableFire ($RES_EVT_749) (89) [SCAL] (1) requesting2.outPort[1].node.resume = reserved2.inPort.node.resume ($RES_SIM_531) (90) [SCAL] (1) requesting2.outPort[1].node.suspend = reserved2.inPort.node.suspend ($RES_SIM_532) (91) [SCAL] (1) requesting2.outPort[1].fire = reserved2.outPort.fire ($RES_SIM_533) (92) [SCAL] (1) requesting2.outPort[1].available = reserved2.inPort.available ($RES_SIM_535) (93) [SCAL] (1) $SEV_52 = free1.inport_fire or (free1.active and not free1.outport_fire) and not free1.connectorNode.node.suspend ($RES_EVT_831) (94) [SCAL] (1) start2.outPort.node.resume = requesting2.inPort[1].node.resume ($RES_SIM_536) (95) [SCAL] (1) start2.outPort.node.suspend = requesting2.inPort[1].node.suspend ($RES_SIM_537) (96) [SCAL] (1) start2.outPort.fire = requesting2.inPort[1].fire ($RES_SIM_538) (97) [FOR-] (2) ($RES_SIM_363) (97) [----] for $i1 in 1:1 loop (97) [----] [RECD] (2) s2.outPort[$i1].node = s2.connectorNode.node ($RES_SIM_364) (97) [----] end for; (98) [SCAL] (1) reserved3.outPort.fire = $SEV_18 ($RES_SIM_190) (99) [RECD] (2) reserved1.outPort.node = reserved1.inPort.node ($RES_SIM_191) (100) [FOR-] (2) ($RES_SIM_367) (100) [----] for $i1 in 1:1 loop (100) [----] [RECD] (2) s2.inPort[$i1].node = s2.connectorNode.node ($RES_SIM_368) (100) [----] end for; (101) [SCAL] (1) release1.outPort.node.resume = free1.inPort[1].node.resume ($RES_SIM_621) (102) [SCAL] (1) release1.outPort.node.suspend = free1.inPort[1].node.suspend ($RES_SIM_622) (103) [SCAL] (1) reserved1.outPort.fire = $SEV_19 ($RES_SIM_197) (104) [SCAL] (1) release1.outPort.fire = free1.inPort[1].fire ($RES_SIM_623) (105) [RECD] (2) start1.outPort.node = start1.inPort.node ($RES_SIM_199) (106) [SCAL] (1) s1.newActive = if s1.connectorNode.node.resume then s1.oldActive else $SEV_48 ($RES_SIM_450) (107) [SCAL] (1) release2.inPort.node.resume = s2.outPort[1].node.resume ($RES_SIM_626) (108) [SCAL] (1) release2.inPort.node.suspend = s2.outPort[1].node.suspend ($RES_SIM_627) (109) [SCAL] (1) release2.outPort.fire = s2.outPort[1].fire ($RES_SIM_628) (110) [FOR-] (2) ($RES_SIM_453) (110) [----] for $i1 in 1:2 loop (110) [----] [SCAL] (1) free1.outPort[$i1].fire = true ($RES_SIM_454) (110) [----] end for; (111) [SCAL] (1) $TEV_12 = $PRE.release3.outPort.fire ($RES_EVT_750) (112) [SCAL] (1) reqest3.outPort.fire = $SEV_29 ($RES_SIM_280) (113) [SCAL] (1) $TEV_13 = $PRE.release4.outPort.fire ($RES_EVT_751) (114) [SCAL] (1) s3.outPort[1].fire = true ($RES_SIM_281) (115) [SCAL] (1) $TEV_14 = $PRE.request4.outPort.fire ($RES_EVT_752) (116) [FOR-] (2) ($RES_SIM_457) (116) [----] for $i1 in 1:2 loop (116) [----] [SCAL] (1) free1.inPort[$i1].fire = true ($RES_SIM_458) (116) [----] end for; (117) [SCAL] (1) $TEV_15 = $PRE.reqest3.outPort.fire ($RES_EVT_753) (118) [SCAL] (1) $TEV_16 = $PRE.release1.outPort.fire ($RES_EVT_754) (119) [SCAL] (1) s3.inPort[1].fire = true ($RES_SIM_284) (120) [SCAL] (1) $TEV_17 = $PRE.release2.outPort.fire ($RES_EVT_755) (121) [SCAL] (1) $TEV_18 = $PRE.request2.outPort.fire ($RES_EVT_756) (122) [SCAL] (1) $TEV_19 = $PRE.request1.outPort.fire ($RES_EVT_757) (123) [SCAL] (1) $TEV_20 = $PRE.idle2.newActive ($RES_EVT_758) (124) [SCAL] (1) $TEV_21 = $PRE.busy2.newActive ($RES_EVT_759) (125) [SCAL] (1) idle2.outPort[1].node.resume = start2.inPort.node.resume ($RES_SIM_541) (126) [SCAL] (1) idle2.outPort[1].node.suspend = start2.inPort.node.suspend ($RES_SIM_542) (127) [SCAL] (1) idle2.outPort[1].fire = start2.outPort.fire ($RES_SIM_543) (128) [SCAL] (1) idle2.outPort[1].available = start2.inPort.available ($RES_SIM_545) (129) [SCAL] (1) ready1.outPort.node.resume = idle1.inPort[1].node.resume ($RES_SIM_546) (130) [SCAL] (1) s2.outPort[1].available = $SEV_40 ($RES_SIM_371) (131) [SCAL] (1) ready1.outPort.node.suspend = idle1.inPort[1].node.suspend ($RES_SIM_547) (132) [WHEN] (1)when s2.connectorNode.node.suspend then (132) [----] s2.oldActive := s2.active (132) [----] end when; (133) [SCAL] (1) ready1.outPort.fire = idle1.inPort[1].fire ($RES_SIM_548) (134) [SCAL] (1) s2.active = $TEV_29 ($RES_SIM_373) (135) [SCAL] (1) s2.newActive = if s2.connectorNode.node.resume then s2.oldActive else $SEV_41 ($RES_SIM_374) (136) [SCAL] (1) requesting3.outPort[1].fire = true ($RES_SIM_377) (137) [SCAL] (1) release2.inPort.available = s2.outPort[1].available ($RES_SIM_630) (138) [SCAL] (1) release1.inPort.node.resume = s1.outPort[1].node.resume ($RES_SIM_631) (139) [SCAL] (1) release1.inPort.node.suspend = s1.outPort[1].node.suspend ($RES_SIM_632) (140) [SCAL] (1) release1.outPort.fire = s1.outPort[1].fire ($RES_SIM_633) (141) [SCAL] (1) release1.inPort.available = s1.outPort[1].available ($RES_SIM_635) (142) [SCAL] (1) request1.outPort.node.resume = s1.inPort[1].node.resume ($RES_SIM_636) (143) [SCAL] (1) request1.outPort.node.suspend = s1.inPort[1].node.suspend ($RES_SIM_637) (144) [SCAL] (1) request1.outPort.fire = s1.inPort[1].fire ($RES_SIM_638) (145) [SCAL] (1) $TEV_22 = $PRE.requesting4.newActive ($RES_EVT_760) (146) [FOR-] (4) ($RES_SIM_465) (146) [----] for $i1 in 1:2 loop (146) [----] [RECD] (2) free1.outPort[$i1].node = free1.connectorNode.node ($RES_SIM_466) (146) [----] end for; (147) [FOR-] (2) ($RES_SIM_290) (147) [----] for $i1 in 1:1 loop (147) [----] [RECD] (2) s3.outPort[$i1].node = s3.connectorNode.node ($RES_SIM_291) (147) [----] end for; (148) [SCAL] (1) $TEV_23 = $PRE.requesting2.newActive ($RES_EVT_761) (149) [SCAL] (1) $TEV_24 = $PRE.idle1.newActive ($RES_EVT_762) (150) [SCAL] (1) free1.connectorNode.node.resume = false ($RES_SIM_467) (151) [SCAL] (1) $TEV_25 = $PRE.busy1.newActive ($RES_EVT_763) (152) [SCAL] (1) free1.connectorNode.node.suspend = false ($RES_SIM_468) (153) [SCAL] (1) $TEV_26 = $PRE.s4.newActive ($RES_EVT_764) (154) [FOR-] (2) ($RES_SIM_294) (154) [----] for $i1 in 1:1 loop (154) [----] [RECD] (2) s3.inPort[$i1].node = s3.connectorNode.node ($RES_SIM_295) (154) [----] end for; (155) [SCAL] (1) $TEV_27 = $PRE.s3.newActive ($RES_EVT_765) (156) [SCAL] (1) $TEV_28 = $PRE.free2.newActive ($RES_EVT_766) (157) [SCAL] (1) $TEV_29 = $PRE.s2.newActive ($RES_EVT_767) (158) [SCAL] (1) $TEV_30 = $PRE.requesting3.newActive ($RES_EVT_768) (159) [SCAL] (1) s3.outPort[1].available = $SEV_30 ($RES_SIM_298) (160) [SCAL] (1) $TEV_31 = $PRE.requesting1.newActive ($RES_EVT_769) (161) [WHEN] (1)when s3.connectorNode.node.suspend then (161) [----] s3.oldActive := s3.active (161) [----] end when; (162) [SCAL] (1) busy1.outPort[1].node.resume = ready1.inPort.node.resume ($RES_SIM_551) (163) [SCAL] (1) busy1.outPort[1].node.suspend = ready1.inPort.node.suspend ($RES_SIM_552) (164) [SCAL] (1) busy1.outPort[1].fire = ready1.outPort.fire ($RES_SIM_553) (165) [SCAL] (1) busy1.outPort[1].available = ready1.inPort.available ($RES_SIM_555) (166) [SCAL] (1) requesting3.inPort[1].fire = true ($RES_SIM_380) (167) [SCAL] (1) reserved3.outPort.node.resume = busy1.inPort[1].node.resume ($RES_SIM_556) (168) [SCAL] (1) reserved3.outPort.node.suspend = busy1.inPort[1].node.suspend ($RES_SIM_557) (169) [SCAL] (1) reserved3.outPort.fire = busy1.inPort[1].fire ($RES_SIM_558) (170) [FOR-] (2) ($RES_SIM_386) (170) [----] for $i1 in 1:1 loop (170) [----] [RECD] (2) requesting3.outPort[$i1].node = requesting3.connectorNode.node ($RES_SIM_387) (170) [----] end for; (171) [SCAL] (1) request2.outPort.node.resume = s2.inPort[1].node.resume ($RES_SIM_641) (172) [SCAL] (1) request2.outPort.node.suspend = s2.inPort[1].node.suspend ($RES_SIM_642) (173) [SCAL] (1) request2.outPort.fire = s2.inPort[1].fire ($RES_SIM_643) (174) [SCAL] (1) request2.inPort.node.resume = free1.outPort[2].node.resume ($RES_SIM_646) (175) [FOR-] (4) ($RES_SIM_471) (175) [----] for $i1 in 1:2 loop (175) [----] [RECD] (2) free1.inPort[$i1].node = free1.connectorNode.node ($RES_SIM_472) (175) [----] end for; (176) [SCAL] (1) request2.inPort.node.suspend = free1.outPort[2].node.suspend ($RES_SIM_647) (177) [SCAL] (1) request2.outPort.fire = free1.outPort[2].fire ($RES_SIM_648) (178) [SCAL] (1) $TEV_32 = $PRE.s1.newActive ($RES_EVT_770) (179) [FOR-] (2) ($RES_SIM_475) (179) [----] for $i1 in 1:2 loop (179) [----] [SCAL] (1) free1.outPort[$i1].available = if $SEV_32[$i1] then $SEV_49[$i1] else $SEV_51[$i1] ($RES_SIM_476) (179) [----] end for; (180) [SCAL] (1) $TEV_33 = $PRE.free1.newActive ($RES_EVT_771) (181) [SCAL] (1) $SEV_0 = idle2.active and not idle2.connectorNode.node.suspend ($RES_EVT_772) (182) [WHEN] (1)when free1.connectorNode.node.suspend then (182) [----] free1.oldActive := free1.active (182) [----] end when; (183) [SCAL] (1) $SEV_1 = idle2.inport_fire or (idle2.active and not idle2.outport_fire) and not idle2.connectorNode.node.suspend ($RES_EVT_773) (184) [SCAL] (1) free1.active = $TEV_33 ($RES_SIM_478) (185) [SCAL] (1) free1.newActive = if free1.connectorNode.node.resume then free1.oldActive else $SEV_52 ($RES_SIM_479) (186) [SCAL] (1) $SEV_3 = ready2.enableFire and time >= (ready2.t_start + ready2.waitTime) ($RES_EVT_775) (187) [SCAL] (1) $SEV_4 = s2.active and reserved4.inPort.available ($RES_EVT_776) (188) [SCAL] (1) $SEV_5 = s4.active and reserved2.inPort.available ($RES_EVT_777) (189) [SCAL] (1) $SEV_7 = start2.enableFire and time >= (start2.t_start + start2.waitTime) ($RES_EVT_779) (190) [SCAL] (1) requesting3.outPort[1].node.resume = reserved3.inPort.node.resume ($RES_SIM_561) (191) [SCAL] (1) requesting3.outPort[1].node.suspend = reserved3.inPort.node.suspend ($RES_SIM_562) (192) [SCAL] (1) requesting3.outPort[1].fire = reserved3.outPort.fire ($RES_SIM_563) (193) [SCAL] (1) requesting3.outPort[1].available = reserved3.inPort.available ($RES_SIM_565) (194) [FOR-] (2) ($RES_SIM_390) (194) [----] for $i1 in 1:1 loop (194) [----] [RECD] (2) requesting3.inPort[$i1].node = requesting3.connectorNode.node ($RES_SIM_391) (194) [----] end for; (195) [SCAL] (1) reserved1.outPort.node.resume = requesting3.inPort[1].node.resume ($RES_SIM_566) (196) [SCAL] (1) reserved1.outPort.node.suspend = requesting3.inPort[1].node.suspend ($RES_SIM_567) (197) [SCAL] (1) reserved1.outPort.fire = requesting3.inPort[1].fire ($RES_SIM_568) (198) [SCAL] (1) requesting3.outPort[1].available = $SEV_42 ($RES_SIM_394) (199) [WHEN] (1)when requesting3.connectorNode.node.suspend then (199) [----] requesting3.oldActive := requesting3.active (199) [----] end when; (200) [SCAL] (1) requesting3.active = $TEV_30 ($RES_SIM_396) (201) [SCAL] (1) requesting3.newActive = if requesting3.connectorNode.node.resume then requesting3.oldActive else $SEV_43 ($RES_SIM_397) (202) [SCAL] (1) request2.inPort.available = free1.outPort[2].available ($RES_SIM_650) (203) [SCAL] (1) request1.inPort.node.resume = free1.outPort[1].node.resume ($RES_SIM_651) (204) [SCAL] (1) request1.inPort.node.suspend = free1.outPort[1].node.suspend ($RES_SIM_652) (205) [SCAL] (1) request1.outPort.fire = free1.outPort[1].fire ($RES_SIM_653) (206) [SCAL] (1) release2.conditionPort = edge(not busy2.active) ($RES_SIM_22) (207) [SCAL] (1) release3.conditionPort = edge(not busy1.active) ($RES_SIM_23) (208) [SCAL] (1) request1.inPort.available = free1.outPort[1].available ($RES_SIM_655) (209) [SCAL] (1) idle2.outPort[1].fire = true ($RES_SIM_24) (210) [SCAL] (1) idle2.inPort[1].fire = true ($RES_SIM_27) (211) [SCAL] (1) $SEV_8 = busy2.active and not busy2.connectorNode.node.suspend ($RES_EVT_780) (212) [SCAL] (1) $SEV_9 = busy2.inport_fire or (busy2.active and not busy2.outport_fire) and not busy2.connectorNode.node.suspend ($RES_EVT_781) (213) [SCAL] (1) $SEV_10 = requesting4.active and not requesting4.connectorNode.node.suspend ($RES_EVT_782) (214) [SCAL] (1) $SEV_11 = requesting4.inport_fire or (requesting4.active and not requesting4.outport_fire) and not requesting4.connectorNode.node.suspend ($RES_EVT_783) (215) [SCAL] (1) $SEV_12 = requesting2.active and not requesting2.connectorNode.node.suspend ($RES_EVT_784) (216) [SCAL] (1) $SEV_13 = requesting2.inport_fire or (requesting2.active and not requesting2.outport_fire) and not requesting2.connectorNode.node.suspend ($RES_EVT_785) (217) [SCAL] (1) $SEV_14 = idle1.active and not idle1.connectorNode.node.suspend ($RES_EVT_786) (218) [SCAL] (1) $SEV_15 = idle1.inport_fire or (idle1.active and not idle1.outport_fire) and not idle1.connectorNode.node.suspend ($RES_EVT_787) (219) [SCAL] (1) $SEV_17 = ready1.enableFire and time >= (ready1.t_start + ready1.waitTime) ($RES_EVT_789) (220) [SCAL] (1) requesting1.outPort[1].node.resume = reserved1.inPort.node.resume ($RES_SIM_571) (221) [SCAL] (1) requesting1.outPort[1].node.suspend = reserved1.inPort.node.suspend ($RES_SIM_572) (222) [SCAL] (1) requesting1.outPort[1].fire = reserved1.outPort.fire ($RES_SIM_573) (223) [SCAL] (1) requesting1.outPort[1].available = reserved1.inPort.available ($RES_SIM_575) (224) [SCAL] (1) start1.outPort.node.resume = requesting1.inPort[1].node.resume ($RES_SIM_576) (225) [SCAL] (1) start1.outPort.node.suspend = requesting1.inPort[1].node.suspend ($RES_SIM_577) (226) [SCAL] (1) start1.outPort.fire = requesting1.inPort[1].fire ($RES_SIM_578) (227) [FOR-] (2) ($RES_SIM_33) (227) [----] for $i1 in 1:1 loop (227) [----] [RECD] (2) idle2.outPort[$i1].node = idle2.connectorNode.node ($RES_SIM_34) (227) [----] end for; (228) [SCAL] (1) idle2.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(idle2.inPort.fire) ($RES_$AUX_698) (229) [SCAL] (1) idle2.connectorNode.node.resume = false ($RES_SIM_35) (230) [SCAL] (1) idle2.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(idle2.outPort.fire) ($RES_$AUX_697) (231) [SCAL] (1) idle2.connectorNode.node.suspend = false ($RES_SIM_36) (232) [SCAL] (1) $SEV_18 = s3.active and reserved3.inPort.available ($RES_EVT_790) (233) [SCAL] (1) s4.outPort[1].node.resume = release4.inPort.node.resume ($RES_SIM_495) (234) [FOR-] (2) ($RES_SIM_39) (234) [----] for $i1 in 1:1 loop (234) [----] [RECD] (2) idle2.inPort[$i1].node = idle2.connectorNode.node ($RES_SIM_40) (234) [----] end for; (235) [SCAL] (1) $SEV_19 = s1.active and reserved1.inPort.available ($RES_EVT_791) (236) [SCAL] (1) s4.outPort[1].node.suspend = release4.inPort.node.suspend ($RES_SIM_496) (237) [SCAL] (1) s4.outPort[1].fire = release4.outPort.fire ($RES_SIM_497) (238) [SCAL] (1) $SEV_21 = start1.enableFire and time >= (start1.t_start + start1.waitTime) ($RES_EVT_793) (239) [SCAL] (1) $SEV_22 = busy1.active and not busy1.connectorNode.node.suspend ($RES_EVT_794) (240) [SCAL] (1) s4.outPort[1].available = release4.inPort.available ($RES_SIM_499) (241) [SCAL] (1) $SEV_23 = busy1.inport_fire or (busy1.active and not busy1.outport_fire) and not busy1.connectorNode.node.suspend ($RES_EVT_795) (242) [SCAL] (1) $SEV_24 = release3.conditionPort and release3.inPort.available ($RES_EVT_796) (243) [SCAL] (1) $SEV_25 = release2.conditionPort and release4.inPort.available ($RES_EVT_797) (244) [SCAL] (1) $SEV_26 = requesting2.active and request4.inPort.available ($RES_EVT_798) (245) [SCAL] (1) $SEV_27 = s4.active and not s4.connectorNode.node.suspend ($RES_EVT_799) (246) [SCAL] (1) idle1.outPort[1].node.resume = start1.inPort.node.resume ($RES_SIM_581) (247) [SCAL] (1) idle1.outPort[1].node.suspend = start1.inPort.node.suspend ($RES_SIM_582) (248) [SCAL] (1) idle1.outPort[1].fire = start1.outPort.fire ($RES_SIM_583) (249) [SCAL] (1) idle1.outPort[1].available = start1.inPort.available ($RES_SIM_585) (250) [SCAL] (1) release4.outPort.node.resume = free2.inPort[2].node.resume ($RES_SIM_586) (251) [SCAL] (1) release4.outPort.node.suspend = free2.inPort[2].node.suspend ($RES_SIM_587) (252) [SCAL] (1) release4.outPort.fire = free2.inPort[2].fire ($RES_SIM_588) (253) [SCAL] (1) idle2.outPort[1].available = $SEV_0 ($RES_SIM_43) (254) [WHEN] (1)when idle2.connectorNode.node.suspend then (254) [----] idle2.oldActive := idle2.active (254) [----] end when; (255) [SCAL] (1) idle2.active = $TEV_20 ($RES_SIM_45) (256) [SCAL] (1) idle2.newActive = if idle2.connectorNode.node.resume then idle2.oldActive else $SEV_1 ($RES_SIM_46) (257) [SCAL] (1) release3.outPort.node.resume = free2.inPort[1].node.resume ($RES_SIM_591) (258) [SCAL] (1) release3.outPort.node.suspend = free2.inPort[1].node.suspend ($RES_SIM_592) (259) [SCAL] (1) release3.outPort.fire = free2.inPort[1].fire ($RES_SIM_593) (260) [SCAL] (1) release3.inPort.node.resume = s3.outPort[1].node.resume ($RES_SIM_596) (261) [SCAL] (1) release3.inPort.node.suspend = s3.outPort[1].node.suspend ($RES_SIM_597) (262) [SCAL] (1) release3.outPort.fire = s3.outPort[1].fire ($RES_SIM_598) (263) [RECD] (2) ready2.outPort.node = ready2.inPort.node ($RES_SIM_50) (264) [SCAL] (1) ready2.outPort.fire = $SEV_3 ($RES_SIM_54) (265) [WHEN] (1)when ready2.enableFire then (265) [----] ready2.t_start := time (265) [----] end when; (266) [SCAL] (1) ready2.enableFire = ready2.inPort.available ($RES_SIM_56) (267) [RECD] (2) reserved4.outPort.node = reserved4.inPort.node ($RES_SIM_58) (268) [SCAL] (1) busy2.active = $TEV_21 ($RES_SIM_100) (269) [SCAL] (1) busy2.newActive = if busy2.connectorNode.node.resume then busy2.oldActive else $SEV_9 ($RES_SIM_101) (270) [SCAL] (1) requesting4.outPort[1].fire = true ($RES_SIM_104) (271) [SCAL] (1) requesting4.inPort[1].fire = true ($RES_SIM_107) (272) [SCAL] (1) reserved4.outPort.fire = $SEV_4 ($RES_SIM_64) (273) [RECD] (2) reserved2.outPort.node = reserved2.inPort.node ($RES_SIM_65) (274) [FOR-] (2) ($RES_SIM_113) (274) [----] for $i1 in 1:1 loop (274) [----] [RECD] (2) requesting4.outPort[$i1].node = requesting4.connectorNode.node ($RES_SIM_114) (274) [----] end for; (275) [FOR-] (2) ($RES_SIM_117) (275) [----] for $i1 in 1:1 loop (275) [----] [RECD] (2) requesting4.inPort[$i1].node = requesting4.connectorNode.node ($RES_SIM_118) (275) [----] end for; (276) [SCAL] (1) reserved2.outPort.fire = $SEV_5 ($RES_SIM_71) (277) [RECD] (2) start2.outPort.node = start2.inPort.node ($RES_SIM_73) (278) [SCAL] (1) start1.outPort.fire = $SEV_21 ($RES_SIM_203) (279) [WHEN] (1)when start1.enableFire then (279) [----] start1.t_start := time (279) [----] end when; (280) [SCAL] (1) start2.outPort.fire = $SEV_7 ($RES_SIM_77) (281) [SCAL] (1) start1.enableFire = start1.inPort.available ($RES_SIM_205) (282) [WHEN] (1)when start2.enableFire then (282) [----] start2.t_start := time (282) [----] end when; (283) [SCAL] (1) start2.enableFire = start2.inPort.available ($RES_SIM_79) (284) [SCAL] (1) busy1.outPort[1].fire = true ($RES_SIM_207) (285) [SCAL] (1) requesting4.outPort[1].available = $SEV_10 ($RES_SIM_121) (286) [WHEN] (1)when requesting4.connectorNode.node.suspend then (286) [----] requesting4.oldActive := requesting4.active (286) [----] end when; (287) [SCAL] (1) requesting4.active = $TEV_22 ($RES_SIM_123) (288) [SCAL] (1) free1.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(free1.inPort.fire) ($RES_$AUX_737) (289) [SCAL] (1) requesting4.newActive = if requesting4.connectorNode.node.resume then requesting4.oldActive else $SEV_11 ($RES_SIM_124) (290) [SCAL] (1) free1.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(free1.outPort.fire) ($RES_$AUX_736) (291) [SCAL] (1) s1.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(s1.inPort.fire) ($RES_$AUX_734) (292) [SCAL] (1) requesting2.outPort[1].fire = true ($RES_SIM_127) (293) [SCAL] (1) s1.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(s1.outPort.fire) ($RES_$AUX_733) (294) [SCAL] (1) requesting1.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(requesting1.inPort.fire) ($RES_$AUX_731) (295) [SCAL] (1) requesting1.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(requesting1.outPort.fire) ($RES_$AUX_730) (296) [SCAL] (1) busy2.outPort[1].fire = true ($RES_SIM_81) (297) [SCAL] (1) busy1.inPort[1].fire = true ($RES_SIM_210) (298) [SCAL] (1) busy2.inPort[1].fire = true ($RES_SIM_84) (299) [FOR-] (2) ($RES_SIM_216) (299) [----] for $i1 in 1:1 loop (299) [----] [RECD] (2) busy1.outPort[$i1].node = busy1.connectorNode.node ($RES_SIM_217) (299) [----] end for; (300) [SCAL] (1) s3.active = $TEV_27 ($RES_SIM_300) (301) [SCAL] (1) s3.newActive = if s3.connectorNode.node.resume then s3.oldActive else $SEV_31 ($RES_SIM_301) (302) [FOR-] (2) ($RES_SIM_304) (302) [----] for $i1 in 1:2 loop (302) [----] [SCAL] (1) free2.outPort[$i1].fire = true ($RES_SIM_305) (302) [----] end for; (303) [SCAL] (1) requesting2.inPort[1].fire = true ($RES_SIM_130) (304) [SCAL] (1) requesting3.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(requesting3.inPort.fire) ($RES_$AUX_728) (305) [FOR-] (2) ($RES_SIM_308) (305) [----] for $i1 in 1:2 loop (305) [----] [SCAL] (1) free2.inPort[$i1].fire = true ($RES_SIM_309) (305) [----] end for; (306) [SCAL] (1) requesting3.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(requesting3.outPort.fire) ($RES_$AUX_727) (307) [SCAL] (1) s2.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(s2.inPort.fire) ($RES_$AUX_725) (308) [FOR-] (2) ($RES_SIM_136) (308) [----] for $i1 in 1:1 loop (308) [----] [RECD] (2) requesting2.outPort[$i1].node = requesting2.connectorNode.node ($RES_SIM_137) (308) [----] end for; (309) [SCAL] (1) s2.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(s2.outPort.fire) ($RES_$AUX_724) (310) [SCAL] (1) free2.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(free2.inPort.fire) ($RES_$AUX_722) (311) [SCAL] (1) free2.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(free2.outPort.fire) ($RES_$AUX_721) (312) [FOR-] (2) ($RES_SIM_90) (312) [----] for $i1 in 1:1 loop (312) [----] [RECD] (2) busy2.outPort[$i1].node = busy2.connectorNode.node ($RES_SIM_91) (312) [----] end for; (313) [FOR-] (2) ($RES_SIM_220) (313) [----] for $i1 in 1:1 loop (313) [----] [RECD] (2) busy1.inPort[$i1].node = busy1.connectorNode.node ($RES_SIM_221) (313) [----] end for; (314) [FOR-] (2) ($RES_SIM_94) (314) [----] for $i1 in 1:1 loop (314) [----] [RECD] (2) busy2.inPort[$i1].node = busy2.connectorNode.node ($RES_SIM_95) (314) [----] end for; (315) [SCAL] (1) busy1.outPort[1].available = $SEV_22 ($RES_SIM_224) (316) [WHEN] (1)when busy1.connectorNode.node.suspend then (316) [----] busy1.oldActive := busy1.active (316) [----] end when; (317) [SCAL] (1) busy1.active = $TEV_25 ($RES_SIM_226) (318) [SCAL] (1) busy2.outPort[1].available = $SEV_8 ($RES_SIM_98) (319) [SCAL] (1) busy1.newActive = if busy1.connectorNode.node.resume then busy1.oldActive else $SEV_23 ($RES_SIM_227) (320) [WHEN] (1)when busy2.connectorNode.node.suspend then (320) [----] busy2.oldActive := busy2.active (320) [----] end when; (321) [FOR-] (2) ($RES_SIM_140) (321) [----] for $i1 in 1:1 loop (321) [----] [RECD] (2) requesting2.inPort[$i1].node = requesting2.connectorNode.node ($RES_SIM_141) (321) [----] end for; (322) [FOR-] (4) ($RES_SIM_316) (322) [----] for $i1 in 1:2 loop (322) [----] [RECD] (2) free2.outPort[$i1].node = free2.connectorNode.node ($RES_SIM_317) (322) [----] end for; (323) [SCAL] (1) s3.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(s3.inPort.fire) ($RES_$AUX_719) (324) [SCAL] (1) s3.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(s3.outPort.fire) ($RES_$AUX_718) (325) [SCAL] (1) free2.connectorNode.node.resume = false ($RES_SIM_318) (326) [SCAL] (1) free2.connectorNode.node.suspend = false ($RES_SIM_319) (327) [SCAL] (1) requesting2.outPort[1].available = $SEV_12 ($RES_SIM_144) (328) [SCAL] (1) s4.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(s4.inPort.fire) ($RES_$AUX_716) (329) [WHEN] (1)when requesting2.connectorNode.node.suspend then (329) [----] requesting2.oldActive := requesting2.active (329) [----] end when; (330) [SCAL] (1) s4.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(s4.outPort.fire) ($RES_$AUX_715) (331) [SCAL] (1) requesting2.active = $TEV_23 ($RES_SIM_146) (332) [SCAL] (1) requesting2.newActive = if requesting2.connectorNode.node.resume then requesting2.oldActive else $SEV_13 ($RES_SIM_147) (333) [SCAL] (1) busy1.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(busy1.inPort.fire) ($RES_$AUX_713) (334) [SCAL] (1) busy1.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(busy1.outPort.fire) ($RES_$AUX_712) (335) [SCAL] (1) requesting1.outPort[1].fire = true ($RES_SIM_400) (336) [SCAL] (1) idle1.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(idle1.inPort.fire) ($RES_$AUX_710) (337) [SCAL] (1) requesting1.inPort[1].fire = true ($RES_SIM_403) (338) [RECD] (2) release3.outPort.node = release3.inPort.node ($RES_SIM_230) (339) [FOR-] (2) ($RES_SIM_409) (339) [----] for $i1 in 1:1 loop (339) [----] [RECD] (2) requesting1.outPort[$i1].node = requesting1.connectorNode.node ($RES_SIM_410) (339) [----] end for; (340) [SCAL] (1) release3.outPort.fire = $SEV_24 ($RES_SIM_236) (341) [RECD] (2) release4.outPort.node = release4.inPort.node ($RES_SIM_237) (342) [FOR-] (4) ($RES_SIM_322) (342) [----] for $i1 in 1:2 loop (342) [----] [RECD] (2) free2.inPort[$i1].node = free2.connectorNode.node ($RES_SIM_323) (342) [----] end for; (343) [SCAL] (1) idle1.outPort[1].fire = true ($RES_SIM_150) (344) [FOR-] (2) ($RES_SIM_326) (344) [----] for $i1 in 1:2 loop (344) [----] [SCAL] (1) free2.outPort[$i1].available = if $SEV_32[$i1] then $SEV_33[$i1] else $SEV_35[$i1] ($RES_SIM_327) (344) [----] end for; (345) [SCAL] (1) idle1.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(idle1.outPort.fire) ($RES_$AUX_709) (346) [WHEN] (1)when free2.connectorNode.node.suspend then (346) [----] free2.oldActive := free2.active (346) [----] end when; (347) [SCAL] (1) idle1.inPort[1].fire = true ($RES_SIM_153) (348) [SCAL] (1) requesting2.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(requesting2.inPort.fire) ($RES_$AUX_707) (349) [SCAL] (1) free2.active = $TEV_28 ($RES_SIM_329) (350) [SCAL] (1) requesting2.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(requesting2.outPort.fire) ($RES_$AUX_706) (351) [SCAL] (1) requesting4.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(requesting4.inPort.fire) ($RES_$AUX_704) (352) [SCAL] (1) requesting4.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(requesting4.outPort.fire) ($RES_$AUX_703) (353) [FOR-] (2) ($RES_SIM_159) (353) [----] for $i1 in 1:1 loop (353) [----] [RECD] (2) idle1.outPort[$i1].node = idle1.connectorNode.node ($RES_SIM_160) (353) [----] end for; (354) [SCAL] (1) busy2.inport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(busy2.inPort.fire) ($RES_$AUX_701) (355) [SCAL] (1) busy2.outport_fire = Modelica_StateGraph2.Blocks.BooleanFunctions.anyTrue(busy2.outPort.fire) ($RES_$AUX_700) (356) [FOR-] (2) ($RES_SIM_413) (356) [----] for $i1 in 1:1 loop (356) [----] [RECD] (2) requesting1.inPort[$i1].node = requesting1.connectorNode.node ($RES_SIM_414) (356) [----] end for; (357) [SCAL] (1) requesting1.outPort[1].available = $SEV_44 ($RES_SIM_417) (358) [WHEN] (1)when requesting1.connectorNode.node.suspend then (358) [----] requesting1.oldActive := requesting1.active (358) [----] end when; (359) [SCAL] (1) release4.outPort.fire = $SEV_25 ($RES_SIM_243) (360) [SCAL] (1) requesting1.active = $TEV_31 ($RES_SIM_419) (361) [RECD] (2) request4.outPort.node = request4.inPort.node ($RES_SIM_244) (362) [SCAL] (1) request4.outPort.node.resume = s4.inPort[1].node.resume ($RES_SIM_500) (363) [SCAL] (1) request4.outPort.node.suspend = s4.inPort[1].node.suspend ($RES_SIM_501) (364) [SCAL] (1) request4.outPort.fire = s4.inPort[1].fire ($RES_SIM_502) (365) [SCAL] (1) $SEV_28 = s4.inport_fire or (s4.active and not s4.outport_fire) and not s4.connectorNode.node.suspend ($RES_EVT_800) (366) [SCAL] (1) free2.newActive = if free2.connectorNode.node.resume then free2.oldActive else $SEV_36 ($RES_SIM_330) (367) [SCAL] (1) $SEV_29 = requesting3.active and reqest3.inPort.available ($RES_EVT_801) (368) [SCAL] (1) ready2.outPort.node.resume = idle2.inPort[1].node.resume ($RES_SIM_506) (369) [SCAL] (1) $SEV_30 = s3.active and not s3.connectorNode.node.suspend ($RES_EVT_802) (370) [SCAL] (1) ready2.outPort.node.suspend = idle2.inPort[1].node.suspend ($RES_SIM_507) (371) [SCAL] (1) $SEV_31 = s3.inport_fire or (s3.active and not s3.outport_fire) and not s3.connectorNode.node.suspend ($RES_EVT_803) (372) [SCAL] (1) ready2.outPort.fire = idle2.inPort[1].fire ($RES_SIM_508) (373) [RECD] (2) release1.outPort.node = release1.inPort.node ($RES_SIM_333) (374) [FOR-] (2) ($RES_EVT_804) (374) [----] for $i1 in 1:2 loop (374) [----] [SCAL] (1) $SEV_32[$i1] = $i1 == 1 ($RES_EVT_805) (374) [----] end for; (375) [FOR-] (2) ($RES_EVT_806) (375) [----] for $i1 in 1:2 loop (375) [----] [SCAL] (1) $SEV_33[$i1] = free2.active and not free2.connectorNode.node.suspend ($RES_EVT_807) (375) [----] end for; (376) [SCAL] (1) idle1.connectorNode.node.resume = false ($RES_SIM_161) (377) [SCAL] (1) idle1.connectorNode.node.suspend = false ($RES_SIM_162) (378) [FOR-] (2) ($RES_EVT_808) (378) [----] for $i1 in 1:2 loop (378) [----] [SCAL] (1) $SEV_34[$i1] = free2.outPort[$i1 - 1].available and not free2.outPort[$i1 - 1].fire ($RES_EVT_809) (378) [----] end for; (379) [SCAL] (1) release1.outPort.fire = $SEV_37 ($RES_SIM_339) (380) [FOR-] (2) ($RES_SIM_165) (380) [----] for $i1 in 1:1 loop (380) [----] [RECD] (2) idle1.inPort[$i1].node = idle1.connectorNode.node ($RES_SIM_166) (380) [----] end for; (381) [SCAL] (1) requesting1.newActive = if requesting1.connectorNode.node.resume then requesting1.oldActive else $SEV_45 ($RES_SIM_420) (382) [SCAL] (1) idle1.outPort[1].available = $SEV_14 ($RES_SIM_169) (383) [RECD] (2) request1.outPort.node = request1.inPort.node ($RES_SIM_423) (384) [SCAL] (1) request4.outPort.fire = $SEV_26 ($RES_SIM_250) (385) [SCAL] (1) s4.outPort[1].fire = true ($RES_SIM_251)