Regular simulation: ./Buildings_5.0.1_Buildings.Fluid.Examples.FlowSystem.Basic -abortSlowSimulation -alarm=480 LOG_SUCCESS | info | The initialization finished successfully with 4 homotopy steps. assert | debug | Solving non-linear system 8372 failed at time=449.55156513893. | | | | For more information please use -lv LOG_NLS. assert | debug | Solving non-linear system 8372 failed at time=453.985521050719. | | | | For more information please use -lv LOG_NLS. assert | debug | Solving non-linear system 8372 failed at time=464.331418178227. | | | | For more information please use -lv LOG_NLS. assert | debug | Solving non-linear system 8372 failed at time=468.765374090016. | | | | For more information please use -lv LOG_NLS. assert | debug | Solving non-linear system 8372 failed at time=467.287388786086. | | | | For more information please use -lv LOG_NLS. assert | debug | Solving non-linear system 8372 failed at time=475.416307957699. | | | | For more information please use -lv LOG_NLS. assert | debug | Solving non-linear system 8372 failed at time=473.93832265377. | | | | For more information please use -lv LOG_NLS. assert | debug | Solving non-linear system 8372 failed at time=476.155300609664. | | | | For more information please use -lv LOG_NLS. assert | debug | Solving non-linear system 8372 failed at time=475.6. | | | | For more information please use -lv LOG_NLS. stdout | warning | Integrator attempt to handle a problem with a called assert. assert | debug | Solving non-linear system 8372 failed at time=486.868700000003. | | | | For more information please use -lv LOG_NLS. assert | debug | Solving non-linear system 8372 failed at time=490.964700000004. | | | | For more information please use -lv LOG_NLS. assert | debug | Solving non-linear system 8372 failed at time=493.422300000004. | | | | For more information please use -lv LOG_NLS. assert | debug | Solving non-linear system 8372 failed at time=495.879900000005. | | | | For more information please use -lv LOG_NLS. assert | debug | Solving non-linear system 8372 failed at time=504.891100000007. | | | | For more information please use -lv LOG_NLS. assert | debug | Solving non-linear system 8372 failed at time=503.252700000006. | | | | For more information please use -lv LOG_NLS. assert | debug | Solving non-linear system 8372 failed at time=505.710300000007. | | | | For more information please use -lv LOG_NLS. assert | debug | Solving non-linear system 8372 failed at time=508.167900000008. | | | | For more information please use -lv LOG_NLS. LOG_SUCCESS | info | The simulation finished successfully.