OpenModelica master from 2019-12-03 23:01:51 to 2019-12-04 11:55:29

Summary

OMC Commits3
Libraries Changed1
Number of Improvements17
Number of Regressions0
Number of Performance Improvements0
Number of Performance Regressions1

OpenModelica Changes

CommitAuthorSummary
a743f3e5ebAndreas Heuermann[CRuntime] Add time specific logging
9c5134d428Adeel AsgharHide the Git menu item until the feature is fully implemented
54cc54632aAdrian Popupdate libraries

Library Changes

LibraryChange
OpenHPLFrom version 1.0.2 (revision 1.0.2-30-gbc88a3c) to 1.0.2 (revision 1.0.2-50-ga4847c9)

Models Affected

Modelica_DeviceDriversModelica_DeviceDrivers.Blocks.Examples.TestSerialPackager_MQTT (sim)Simulate performance 7.63 → 20.40
OpenHPLOpenHPL.Examples.HPDetailed (sim)Failed → Simulate
OpenHPLOpenHPL.Examples.HPDetailed_Francis (sim)Failed → Simulate
OpenHPLOpenHPL.Examples.HPDetailed_generator (sim)Failed → Simulate
OpenHPLOpenHPL.Examples.HPSimple (sim)Failed → Simulate
OpenHPLOpenHPL.Examples.HPSimple_Francis (sim)Failed → Simulate
OpenHPLOpenHPL.Examples.HPSimple_Francis_GridGen (sim)Failed → Simulate
OpenHPLOpenHPL.Examples.HPSimple_Francis_IPSLGen (sim)Failed → Compile
OpenHPLOpenHPL.Examples.HPSimple_Francis_IPSLGenGov (sim)Failed → Simulate
OpenHPLOpenHPL.Examples.HPSimple_Francis_IPSLGenInfBus (sim)Failed → Compile
OpenHPLOpenHPL.Examples.HPSimple_OpenChannel (sim)Failed → Compile
OpenHPLOpenHPL.Examples.HPSimple_generator (sim)Failed → Simulate
OpenHPLOpenHPL.Functions.KP07.TestKPpde.ElasticPenstock (sim)Failed → Simulate
OpenHPLOpenHPL.Functions.KP07.TestKPpde.OpenChannel (sim)Failed → FrontEnd
OpenHPLOpenHPL.Tests.Fittings (sim)Failed → Simulate
OpenHPLOpenHPL.Waterway.Reservoir (sim)Failed → Simulate
ScalableTestSuiteScalableTestSuite.Electrical.DistributionSystemDC.ScaledExperiments.DistributionSystemModelicaIndividual_N_80_M_80 (sim)Failed → FrontEnd
ScalableTestSuite_nooptScalableTestSuite.Electrical.DistributionSystemDC.ScaledExperiments.DistributionSystemModelicaActiveLoads_N_160_M_10 (sim)Failed → Verify